CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 累加器

搜索资源列表

  1. division

    0下载:
  2. 分别用分频比交错法及累加器分频法完成非整数分频器设计。-Points were staggered method and frequency than the frequency accumulator law to complete the design of non-integer divider.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:29002
    • 提供者:旭东
  1. accumulate222

    1下载:
  2. 相位累加器,即DDS频率合成器的MATALB实现,采用M文件编写的S函数-Phase accumulator, that is, the DDS frequency synthesizer MATALB realized, the use of M' s S function documentation
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:586
    • 提供者:曹刚
  1. LFM

    0下载:
  2. 线性调频信号的累加器实现,用于产生线性调频信号,线性调频信号可以用于超声信号的发射,音箱的检测等。-Linear frequency modulated signal to achieve the accumulator, for the production of linear FM signals, linear frequency modulated signal can be used to launch ultrasonic signals, such as speaker detec
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:1196
    • 提供者:Grape
  1. jiajianfazhiling

    0下载:
  2. (1)课程设计的题目。(2)设计的目的及设计原理。 (3)根据设计要求给出模型机的逻辑框图。 (4)设计指令系统,并分析指令格式。 (5)设计微程序及其实现的方法(包括微指令格式的设计,后续微地址的产生方法以及微程序入口地址的形成)。 (6)模型机当中时序的设计安排。(7)设计指令执行流程。 (8)给出编制的源程序,写出程序的指令代码及微程序。 (9)说明在使用软件HKCPT的联机方式与脱机方式的实现过程(包括编制程序中每指条令的时序分析,累加器A和有关寄存器、存储器的数
  3. 所属分类:Education soft system

    • 发布日期:2017-03-30
    • 文件大小:804972
    • 提供者:朱良
  1. FPGA_DDS

    0下载:
  2. 本文介绍了如何用VHDL进行DDS的设计,其中关键的相位累加器,正弦信号发生器等用VHDL描述-the DDS is depend on the fpga ,and we descr iption it use the vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:139353
    • 提供者:陈杰卫
  1. myproject

    0下载:
  2. dsp的实验累加器编写的程序 实现累加功能 比较简单-dsp experimental procedure for the preparation of accumulator function is relatively simple to achieve cumulative
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:41970
    • 提供者:陈洪
  1. ComputerOrganizationandStructure

    0下载:
  2. 研制一台性能如下的实验计算机: (1) 能使用键盘和打印机两种外设 (2) 运算器采用单累加器多寄存器结构。 (3) 能实现PC相对寻址和变址器变址寻址这两种操作寻址方式,指令系统中有相应的指令。 如: SJMP rel (PC) + rel -> PC LD A,addr[Rx] (addr + (Rx)) -> A 提示:需要自己设置IAB到IDB的数据缓冲通路 (4) 指令系统中有子程序调用指令(CALL add
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-03-30
    • 文件大小:226649
    • 提供者:穆鹏
  1. 7

    0下载:
  2. 2.1.1 实验计算机的外设需求: 该实验计算机具有键盘和打印机两种外部设备。外设和内存统一操作指令,程序查 发使用外设。 2.1.2实验计算机运算器结构: 运算器采用单累加器多通用寄存器结构 2.1.3实验计算机功能和用途: 可对键盘输入的两个2位十进制数进行四则运算,由打印机输出结果;能执行键盘输入的奇数i (i=1-255)回打出来并存入100H号开始的内存单元中 -2.1.1 Experimental computer peripheral n
  3. 所属分类:Printing program

    • 发布日期:2017-04-04
    • 文件大小:189006
    • 提供者:穆鹏
  1. FIRfilter_codeanddesigndoc

    0下载:
  2. 并行FIR滤波器具有速度快、容易设计的特点,但是要占用大量的资源。在多阶数的亚高频系统设计中,使用并行结构并不合算,但亚高频系统需要较高的处理速度,而串行架构往往达不到要求,因此,结合串并这两种设计方法的长处,在使用较少的硬件资源的同时实现了较高的处理速度,这里说明一种65阶八路并行、支路串行FIR滤波器的设计(实际使用了1个乘法器,8个乘累加器,一个累加器)。-FIR filter
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-17
    • 文件大小:12089
    • 提供者:Angela
  1. 65jie

    1下载:
  2. 串并FIR滤波器设计:并行FIR滤波器具有速度快、容易设计的特点,但是要占用大量的资源。在多阶数的亚高频系统设计中,使用并行结构并不合算,但亚高频系统需要较高的处理速度,而串行架构往往达不到要求,因此,结合串并这两种设计方法的长处,在使用较少的硬件资源的同时实现了较高的处理速度,这里说明一种65阶八路并行、支路串行FIR滤波器的设计(实际使用了1个乘法器,8个乘累加器,一个累加器)。-String and FIR filter design: parallel FIR filter with a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:12038
    • 提供者:南才北往
  1. DAC0832

    0下载:
  2. 利用DAC0832产生三角波,程序如下: 本程序中产生的电压的最大幅度受DAC0832的基准电压限制 产生的的三角波周期受累加器A的控制,可以通过改变每次加的数值来改变周期,但连续性绘变差 也可以改变延时时间来实现-DAC0832 generated using the triangular wave, as follows: This procedure generated by the voltage of the most significant restriction
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:32599
    • 提供者:desmond
  1. C10_PulseAccumulate

    0下载:
  2. 脉冲累加器的使用程序,希望对大家有点帮助-Procedures for the use of pulse accumulator, I hope all of you a little help
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:198451
    • 提供者:bjg
  1. PulseAccumulate

    0下载:
  2. 这是MC9S12DG128定时器模块中脉冲累加器应用实例-This is MC9S12DG128 timer pulse accumulator module Applications
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:148098
    • 提供者:Boolean
  1. Desktop

    0下载:
  2. DDS数字频率合成DDS由相位累加器、正弦查找表、D/A转换器和低通滤波器组成 -DDS DDS DDS from the phase accumulator, sine look-up tables, D/A converter and low-pass filter composed of
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:1256
    • 提供者:chenxiaofeng
  1. xunzhi

    0下载:
  2. DSP C5400的数据寻址汇编程序,含各种数据寻址方式,包括立即寻址,绝对寻址,累加器寻址,直接寻址,间接寻址,存储器映像寄存器寻址和堆栈寻址-DSP C5400 data addressing assembler, containing a variety of data addressing modes, including an immediate addressing, absolute addressing, accumulator addressing, direct addres
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:1624
    • 提供者:ansen
  1. Sample3

    0下载:
  2. 輔以java語法做四則運算,用累加器的觀念,作數字的總和。-Supplemented with java syntax to do four operations, using the concept of accumulator for sum of the figures.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:2113
    • 提供者:james
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. chap3

    0下载:
  2. 一段小小的四位累加器的实现程序,通过仿真测试,免费下载-The realization of a small four accumulator process simulation test, free download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:260403
    • 提供者:尧峰
  1. xiangweileijiaqi

    1下载:
  2. 相位累加器,是数字频率合成器的重要组成部分。这是verilog代码。-Phase accumulator, digital frequency synthesizer is an important part. This is the verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2366
    • 提供者:yanzhengkuaile
  1. Sources

    0下载:
  2. 基于S12单片机和hq7620摄像头的图像采集程序(脉冲累加器溢出中断采集,输入捕捉中断发送数据给PC机)-picture sampling based on S12 MCU and hq7620 using interrupt.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:18586
    • 提供者:曾昭明
« 1 2 34 5 6 7 8 »
搜珍网 www.dssz.com