CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 累加器

搜索资源列表

  1. Tdiaanzizhonnh

    0下载:
  2. 这是我在学习过程中编的数字钟的原程序源码,含各种时钟模块,,和计数器,累加器等,能直接下载,已经编译通过! 可直接使用。 -This is a series of digital clock in the learning process of the original program source code, containing a variety of clock module, and the counter, accumulator, etc., can be downloaded
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:554201
    • 提供者:cscm0077
  1. Basic-sequential-logic

    0下载:
  2. 用Verilog语言实现D触发器、累加器的功能-D flip-flop, the function of the accumulator using Verilog language
  3. 所属分类:Other systems

    • 发布日期:2017-11-17
    • 文件大小:41272
    • 提供者:李炜
  1. FPGAadder

    0下载:
  2. FPGA 累加器方面的几个源码,初学者学习之用-The FPGA Accumulator several source code, for beginners to learn with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:1685
    • 提供者:nanyue
  1. MAC

    0下载:
  2. 在FPGA硬件上,使用verilog语言编写的一个乘累加器程序。-FPGA hardware, a multiply accumulator verilog language program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:2593
    • 提供者:苏亭
  1. Code_NCO.zip

    0下载:
  2. 码数控振荡器相位累加器的位数N为32,利用verilog HDL语言在Quartus II 9.1中具体实现了载波和码NCO的设计。,The code numerically controlled oscillator phase accumulator bits N 32 verilog HDL language in the concrete realization of the design of the carrier and code NCO Quartus II 9.1.
  3. 所属分类:GPS develop

    • 发布日期:2017-11-09
    • 文件大小:881
    • 提供者:cc
  1. project

    0下载:
  2. 采用底层设计懂得乘法累加器一般设计方法,对于VHDL相关应用有一定帮助-Know how to multiply-accumulator general design method, the underlying design VHDL related applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:3676189
    • 提供者:YH
  1. xs128impulse

    0下载:
  2. 用xs128实现的脉冲累加器功能,连接编码器可以实现技术,示波器测量和实际运行均通过-Xs128 the pulse accumulator achieve function, connect the encoder can achieve technical oscilloscope measurement and actual run by
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-12-03
    • 文件大小:249638
    • 提供者:王极
  1. 12

    0下载:
  2. 4位串行累加器,7474、74183、74194-Four serial accumulator
  3. 所属分类:LabView

    • 发布日期:2017-11-02
    • 文件大小:10857
    • 提供者:王哲
  1. MATLAB.zip

    1下载:
  2. Hough变换利用图像空间和Hough参数空间的点-线对偶性,把图像空间中的检测问题转换到参数空间。通过在参数空间里进行简单的累加统计,然后在Hough参数空间寻找累加器峰值的方法检测直线。例如,图1(a)中的九条线段对应于如图1(b)所示的其Hough参数空间的九个累加器峰值。图1(b)中,Hough参数空间的横纵坐标分别为直线极坐标方程:ρ=x×cos(θ) + y×sin(θ) 的两个参数ρ和θ。九个峰值的ρ和θ值唯一的确定其对应线段所在直线的两个参数。并且线段的长度决定坐标(ρ,θ)处的
  3. 所属分类:matlab

    • 发布日期:2016-05-09
    • 文件大小:1024
    • 提供者:peter
  1. hough-change

    0下载:
  2. 利用opencv对图像进行哈夫变换,Hough变换利用图像空间和Hough参数空间的点-线对偶性,把图像空间中的检测问题转换到参数空间。通过在参数空间里进行简单的累加统计,然后在Hough参数空间寻找累加器峰值的方法检测直线。-Opencv use the Hough transform to the image, Hough transformation point- line Duality image space and Hough parameter space to the image
  3. 所属分类:OpenCV

    • 发布日期:2017-11-09
    • 文件大小:9427298
    • 提供者:赵健
  1. VHDL-DDS

    0下载:
  2. 基于FPGA的DDS信号源设计,32位相位累加器,产生可调频率-FPGA-based DDS signal source design, 32-bit phase accumulator to generate tunable frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:853
    • 提供者:春雷
  1. add4

    0下载:
  2. 并行计算的4位累加器,a和b分别为4为数据,cin为进位三者相加结果为sout和cout-4-bit parallel computing accumulator, a and b are 4 for the data, cin is carry addition result of the three sout and cout
  3. 所属分类:MPI

    • 发布日期:2017-04-03
    • 文件大小:45380
    • 提供者:王深圳
  1. chengfaleijia

    1下载:
  2. verilog 乘法累加器 包括工程项目及仿真波形图-verilog multiplier-accumulator including the project and the simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:594348
    • 提供者:water
  1. Ex3_3

    0下载:
  2. TMS320C54x片内有1条程序总线,3条数据总线和4条地址总线。这3条数据总线(CB、DB和EB)将内部各单元连接在一起。其中,CB和DB总线传送从数据存储器读出的操作数,EB总线传送写到存储器中的数据。并行运算就是同时利用D总线和E总线。其中,D总线用来执行加载或算术运算,E总线用来存放先前的结果。 并行指令有并行加载和乘法指令,并行加载和存储指令,并行存储和乘法指令,以及并行存储和加/减法指令4种。所有并行指令都是单字单周期指令。并行运算时存储的是前面的运算结果,存储之后再进行加载或
  3. 所属分类:DSP program

    • 发布日期:2017-04-07
    • 文件大小:4081
    • 提供者:laozhao
  1. leijiaqi

    0下载:
  2. verilog 语言描述的累加器和乘法器-verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1067
    • 提供者:罗华杰
  1. challenge-receive

    0下载:
  2. 飞思卡尔MC9S12XS128 脉冲累加器使用-Freescale MC9S12XS128 pulse accumulator
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-05
    • 文件大小:311996
    • 提供者:大哥
  1. multi_booth

    1下载:
  2. verilog编写的booth算法的8x16乘法累加器-verilog prepared booth algorithm 8x16 multiplier-accumulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:885
    • 提供者:chrisxu
  1. Hough--transformed

    0下载:
  2. 利用图像空间和Hough参数空间的点-线对偶性,把图像空间中的检测问题转换到参数空间。通过在参数空间里进行简单的累加统计,然后在Hough参数空间寻找累加器峰值的方法检测直线。-Use of image space and Hough parameter space point- line duality theorem, the detection problems in image space transformation to the parameter space. Through si
  3. 所属分类:Special Effects

    • 发布日期:2017-04-23
    • 文件大小:16990
    • 提供者:李晓阳
  1. 1.5Accumulator

    0下载:
  2. 累加器,由mux,register,adder组成的n ;bit累加器-Accumulator, the mux, register, adder composed of n bit accumulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:281965
    • 提供者:young
  1. Add_ahead

    0下载:
  2. 无流水线加法器与寄存器结合在一起的相位累加器设计程序-vhdl implementation of phase accumulator without pipelines
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-07
    • 文件大小:1346153
    • 提供者:杨远望
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com