CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 锯齿波

搜索资源列表

  1. vhdl_source

    0下载:
  2. 函数发生器VHDL语言实现递增,递减锯齿波,方波,正弦波,阶梯波的实现-VHDL, function ,delta, sin, ladder ,isaw dsaw
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3747
    • 提供者:小花
  1. DigitalSignalGenerator

    1下载:
  2. 多功能信号发生器,实现4种常见波形正弦波、三角波、锯齿波、方波的功能。并且输出信号的频率范围为100Hz~200KHz,输出频率可以调节;可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出,具有显示输出波形、频率的功能。-Digital Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:79065
    • 提供者:smile
  1. bxfsq

    0下载:
  2. 单片机波形发生器和单片机电机测速装置程序 可发生三角波 正弦波 锯齿波 方波 测速通过红外对管和单片机中断相连进行计数测速-SCM SCM waveform generator and motor speed measurement equipment process can occur sawtooth sine wave square wave triangle wave velocity through the infrared to the microcontroller interru
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:10213
    • 提供者:蓝冰
  1. boxing

    0下载:
  2. 基于FPGA的方波,正弦波,三角波,锯齿波的vhdl语言,调试成功-FPGA based square wave sine wave, triangle wave, saw the vhdl language, debugging success
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:2058
    • 提供者:张启富
  1. DA0832ConverterTest

    0下载:
  2. 利用0832输出一个从-5V开始逐渐升到0V再逐渐升至5V;然后从5V逐渐降至0V,再降至-5V的锯齿波电压。-Using 0832 out of a from-5V 0V and then gradually began to rise to rise to 5V and then gradually from 5V down to 0V, and then to-5V in the sawtooth voltage.
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:100548
    • 提供者:林小小
  1. DA0832convertertest

    0下载:
  2. 利用0832输出一个从-5V开始逐渐升到0V再逐渐升至5V;然后从5V逐渐降至0V,再降至-5V的锯齿波电压。-Using 0832 out of a from-5V 0V and then gradually began to rise to rise to 5V and then gradually from 5V down to 0V, and then to-5V in the sawtooth voltage.
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:100540
    • 提供者:林小小
  1. buoxingfasheng

    0下载:
  2. 使用 avr meg16单片机 制作的波形发生器 能产生三角波 锯齿波 方波 电压范围较宽 已经实验成功-Using avr meg16 Microcontroller triangle waveform generator to produce square-wave voltage of sawtooth wave has been successful experiments in a wide range of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:103486
    • 提供者:葛然
  1. LCD(NEW)

    0下载:
  2. 能完成汉字、字符、图片汉字的移动显示和锯齿波和正弦波的滚动显示。-To complete the character, characters, images of Chinese characters display and sawtooth and sine wave movement of the scroll.
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2557
    • 提供者:张山
  1. fitter

    0下载:
  2. 三角波.锯齿波.方波 -三角波.锯齿波.方波三角波.锯齿波.方波三角波.锯齿波.方波
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-09
    • 文件大小:299275
    • 提供者:chenwei
  1. xinhaofashengqi

    0下载:
  2. 信号发生器,正弦波,可调占空比的方波,锯齿波-Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:136211
    • 提供者:贾恒龙
  1. wavefsq

    0下载:
  2. 波形发生器,实现基本的波形,三角形,锯齿波,正弦波,通过简单的按钮来切换-Waveform generator, to achieve the basic wave, triangle, sawtooth, sine wave, a simple button to switch
  3. 所属分类:Compiler program

    • 发布日期:2017-04-03
    • 文件大小:17420
    • 提供者:joke
  1. 078207043

    0下载:
  2. 用C++显示锯齿波,可以玩调节频率幅度-C++
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-05-08
    • 文件大小:1954425
    • 提供者:youli
  1. VHDL1

    0下载:
  2. 学习用FPGA设计一个信号发生器,根据输入信号的选择输出递增、递减锯齿波、三角波、阶梯波和方波。-Learning to use FPGA to design a signal generator, the choice of output to the input signal increases, decreasing sawtooth, triangle, ladder wave and square wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:399303
    • 提供者:zhangyue
  1. BX

    0下载:
  2. 正弦信号、三角波、锯齿波三种信号波形;同时对于正弦信号波形要求能够产生步进-Sine signal, triangle wave, sawtooth waveforms of three the same time requirements for sinusoidal waveforms to produce step
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:991988
    • 提供者:zdan
  1. dac0832

    1下载:
  2. 用两个按键通过单片机控制DAC0832的输出,使OUT端可以输出0—5V的幅值,频率为1KHZ的锯齿波和三角波两种波形。通上电源后;按下INT1则输出三角波,在按下INT0输出锯齿波。-MCU control with two buttons through the DAC0832 output to OUT terminal can output 0-5V amplitude, frequency of the sawtooth wave and triangular wave 1KHZ tw
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:77819
    • 提供者:my name
  1. DADATransformationoutputsawtoothwave

    0下载:
  2. D/A转换 编制程序 利用0832芯片输出锯齿波-D/A conversion programming using 0832 chips output sawtooth
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:2871
    • 提供者:Jahgmn
  1. 18

    0下载:
  2. 汇编语言产生的查表法实现正弦波,锯齿波,方波,三角波发生器的程序-Assembly language generated by look-up table to achieve a sine wave, sawtooth wave, square wave, triangle wave generator program
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:861
    • 提供者:Tr
  1. wg

    0下载:
  2. 基于51单片机的简易波形发生器:内有三角波、方波、锯齿波、正弦波-simple wave generateor
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:1406
    • 提供者:厐仁治
  1. gen_mif_1000

    1下载:
  2. 产生1000点正弦波、三角波、锯齿波的ALTERA MIF文件的源程序,可改为任意点.-1,000 points generated sine wave, triangle wave, sawtooth wave of ALTERA MIF file source, can be changed at any point.
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:981
    • 提供者:王晓君
  1. c8051f120

    0下载:
  2. 是一个很好的C8051F120程序实例,主要有ADC12位&UART;ADC&UART;C8051F120UCOS2;DA锯齿波;DA正弦波;int0;IOTEST;pwm8;PWM16;Serial0程序;SPI;TIMER3。-Is a good example of C8051F120 procedures, mainly ADC12 bit & UART ADC & UART C8051F120UCOS2 DA sawtooth DA sine wave int0
  3. 所属分类:SCM

    • 发布日期:2016-01-26
    • 文件大小:21504
    • 提供者:duanjun
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 31 »
搜珍网 www.dssz.com