CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 锯齿波

搜索资源列表

  1. Multi_function_waveform_generator

    0下载:
  2. 多功能波形发生器VHDL程序与仿真.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出。 -Multi-function waveform generator and simulation of VHDL procedures. The realization of four kinds of common sine wave, triangle, sawtooth, squ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:10237
    • 提供者:
  1. boxing

    0下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出.-Achieve the four kinds of common sine wave, triangle, sawtooth, square wave (A, B) the frequency and amplitude controlled output.
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:10016
    • 提供者:Aria
  1. waveform-generator-o-VHDL-program

    1下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 -Achieve the four kinds of common sine wave, triangle, sawtooth, square wave (A, B) the frequency and amplitude controlled output (square wave- A dut
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-14
    • 文件大小:10044
    • 提供者:刘新
  1. VirtualSignalGeneratoring

    3下载:
  2. 虚拟信号发生器是一个GUI产生(1)周期函数(包括正弦,三角,锯齿,和方波)不同振幅,频率和阶段 (2)不同振幅平方脉冲序列,频率,分期及职责循环 (3)双参数指数函数 (4)高斯曲线之间的范围不同,标准偏差和手段,以及(5)不同幅度的高斯噪声。你也可以添加一个偏移或高斯噪声的前四个以上任何职能。图形用户界面还能使用户可以添加指定的信号(或者乘以它的)一个先前定义的信号。 -Virtual Signal Generator is a GUI that produces (1) periodi
  3. 所属分类:Wavelet

    • 发布日期:2017-03-28
    • 文件大小:15347
    • 提供者:young
  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. multi-function_waveform_generator

    0下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 -4 sine wave to achieve common, triangle, sawtooth, square wave (A, B) the frequency and amplitude controlled output (square wave- A duty cycle is contr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:11546
    • 提供者:卫亮
  1. xinhaofashengqi

    0下载:
  2. 自己做的51单片机的信号发生器,有键盘,显示器,通过键盘能调正弦,锯齿,方波,能改频率。-SCM own signal generator 51, a keyboard, monitor, keyboard, can be transferred through the sine, sawtooth, square wave, can change the frequency.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:15180
    • 提供者:b2984288
  1. 4singal

    0下载:
  2. keil编写的正弦、锯齿、三角和矩形波4种波形的信号发生器,可以切换,可以调幅调频-keil written sine, sawtooth, triangle and square wave four kinds of waveform signal generator, you can switch to AM FM
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:28389
    • 提供者:何凌
  1. Function-generator

    0下载:
  2. 函数发生器,可以产生三角波锯齿波方波和正弦波-Function generator
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:3811
    • 提供者:依拉
  1. BOXINGFASHENGQI

    0下载:
  2. 可数字调频调幅的数字信号发生器 数字信号发生器 摘要:本文利用AT89S51产生一个可调频和调幅的方波信号,通过此信号来产生三角波,锯齿-Digital AM FM can be a digital signal generator digital signal generator Abstract: By using AT89S51 produce a square wave frequency modulation and amplitude modulation of the signal
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:581882
    • 提供者:smalldragon
  1. bxfsq

    0下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 -Achieve four common sine wave, triangle, sawtooth, square wave (A, B) the frequency and amplitude controlled output (square wave- A duty cycle is contr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:10685
    • 提供者:李海平
  1. 8.23-

    0下载:
  2. -功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 -- Function: 4 kinds of common sine, triangle, sawtooth, square wave (A, B) the frequency, amplitude controllable output (square wave- A duty cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:11370
    • 提供者:陈伟杰
  1. msp430DAC

    0下载:
  2. MSP430(F169)D/A转换、键盘控制、LCD显示,单片机实现波形发生和波形控制,通过D/A转换可通过键盘选择输出波的类型,控制幅度和频率,占空比。可产生输出频率及幅度可调,频率范围1000Hz~2000Hz的正弦波、矩形波、三角波、锯齿波及四种波形的线性组合波形,波形信号的频率、波形类型与幅度值送至LCD液晶显示屏进行显示。-MSP430 (F169) D/A conversion control LCD display, keyboard microcontroller wavefor
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:902019
    • 提供者:王贤桂
  1. signal-generator

    0下载:
  2. 进阶实验_16_DA[DA9708] :输出正弦、方波、三角、锯齿(频率、幅度连续可调)-Advanced experimental _16_DA [DA9708] : output sine, square, triangle, sawtooth (frequency, amplitude adjustable)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2012196
    • 提供者:FLL
  1. Signal-generator

    0下载:
  2. 基于51单片机的波形发生器,可发生正弦,锯齿,方波,三角波-Waveform generator based on 51 single chip microcomputer, can happen sine, sawtooth, square wave, triangle wave
  3. 所属分类:source in ebook

    • 发布日期:2017-04-24
    • 文件大小:33621
    • 提供者:王天赐
  1. boxingxinhaofashengqi

    0下载:
  2. 通过C语言编写来产生锯齿波形 可以根据需要更改来生成正玄波或方波等-Write to generate a sawtooth waveform C language can be generated by sine wave or square wave needs to be changed according to the like
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:46815
    • 提供者:刘世林
  1. sin_generator

    0下载:
  2. 基于QUARTUS ii的ROM的正弦方波锯齿信号发生器。-Sine square, wave saw and tooth signal generator based on ROM of QUARTUS II.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2435668
    • 提供者:
  1. Wave_GER

    0下载:
  2. 实现正弦、三角、锯齿、方波等4种的频率和幅度可控输出波形,还可完成各种波形的线形叠加输出,注解详细,值得学习-Achieve sinusoidal, triangular, serrated, Fang Bo and other 4 kinds of frequency and amplitude controllable output waveform, but also to complete the linear superposition of various waveforms out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:10343
    • 提供者:houjunfeng
« 1 2 ... 26 27 28 29 30 31»
搜珍网 www.dssz.com