CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 锯齿波

搜索资源列表

  1. dds-dds

    0下载:
  2. 输出X路扫屏锯齿波。频率可用键盘精确控制,设置多个挡位;可水平移动波形;-hsdftg rgsrhyt grsafs rhd agrthsg agsfg aggth rggfhdhs hfgthdrgdh rghdgsg rgthsg gdfhsrg
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:28657
    • 提供者:nbonwenli
  1. tlc5620moni

    0下载:
  2. 用msp430模拟tlc5620控制时序产生锯齿波-Msp430 simulation with timing control tlc5620 have sawtooth
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:15923
    • 提供者:刘星
  1. fourkindofwavesproductedbyVHDL

    0下载:
  2. 用VHDL语言编写的信号发生器。共有四种波形,递增锯齿波,方波,三角波,正弦波。因是初学者,故可能有些错误,望各位指正。-VHDL language with the signal generator. There are four types of waveforms, increased sawtooth, square wave, triangle wave, sine wave. I m beginner, so there may be some mistakes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:185683
    • 提供者:kinglg
  1. DA

    0下载:
  2. 程序产生锯齿波,DAC输出更新发生在写DAC0H时.-Sawtooth procedures, DAC output updates occur in writing when DAC0H.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:8969
    • 提供者:卢山
  1. dds_var

    0下载:
  2. 自己写的一个简单的DDS控制器,此程序包包含完整的VERILOG写的程序,操作有点简单,输出正弦波,方波,锯齿波,通过键盘可以选择输出波形,与大家共享-To write a simple DDS controller, this package contains a complete program written in VERILOG, a bit simple to operate, the output sine wave, square wave, sawtooth, through t
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1185205
    • 提供者:deng
  1. 1234565

    0下载:
  2. 使用c8051f020的da1产生锯齿波-Da1 the use of the generated sawtooth c8051f020
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:12089
    • 提供者:peter
  1. DAC0832

    0下载:
  2. 8.6 DAC0832 接口电路程序 见随书所附光盘中文件:DAC0832VHDL程序与仿真。 --文件名:DAC0832.VHD --功能:产生频率为762.9Hz的锯齿波。 --最后修改日期:2004.3.18。 -8.6 DAC0832 Interface Circuit procedures, see the book with accompanying CD-ROM in the file: DAC0832VHDL and simulation procedures
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:3159
    • 提供者:wangnan
  1. xinhaofashengqi

    0下载:
  2. 可以产生正弦波,方波,锯齿波等波形,希望对初学者有很大的帮助-Can produce sine wave, square wave, sawtooth wave, etc., and they hope to be very helpful to beginners
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:39262
    • 提供者:方金辉
  1. boxingfasheng

    0下载:
  2. 具有语音功能的波形发生器,能产生三角波,正弦波,锯齿波
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:348733
    • 提供者:qiyabo
  1. juchibo

    0下载:
  2. 该程序主要用C51和双DAC0832采用中断方式产生幅度可变(1~5V)频率可变(1~200HZ)占空比可变的锯齿波~-The main use of the program is to generate variable rate (1 ~ 5V) variable frequency (1 ~ 200HZ) variable duty cycle sawtooth by using double DAC0832 and C51.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:46381
    • 提供者:HSJ
  1. bongying

    0下载:
  2. 波形发生器,能产生方波、三角波、锯齿波、正弦波、踢波。-Waveform generator, to produce square wave, triangle wave, sawtooth, sine wave,
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:15094
    • 提供者:姚智龙
  1. chh

    0下载:
  2. 信号发生器,可以产生,多种波形,正弦波,方波,锯齿波等波形,可以实现调频,调幅-Signal generator, can produce a variety of waveforms, sine wave, square wave, sawtooth wave, etc., can be FM, AM
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:127435
    • 提供者:chenhaohan
  1. juchi_wave

    0下载:
  2. 基于单片机的锯齿波发生程序,在数字示波器显示完美。-Sawtooth wave based on the occurrence of SCM procedures, in perfect digital oscilloscope display.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:18094
    • 提供者:Mangik Lam
  1. DAC12

    0下载:
  2. MSP430F149单片机DA程序:功能为从DAC通道0输出锯齿波,从通道1输出正弦波。-MSP430F149 single-chip DA process: the functions of DAC channel 0 output from the sawtooth, sine wave output from channel 1.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:28357
    • 提供者:zhaolq
  1. 001

    0下载:
  2. 基于凌阳spce061A单片机的正弦波、三角波、锯齿波程序-Sunplus spce061A microcontroller based sine wave, triangle wave, sawtooth wave process
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:2097
    • 提供者:vivyuan
  1. sin

    0下载:
  2. 利用单片机编程产生锯齿波,三角波,正弦波源程序-The use of microcontroller programming generated sawtooth, triangle wave, sine wave source
  3. 所属分类:CSharp

    • 发布日期:2017-04-04
    • 文件大小:1245
    • 提供者:Yolanda
  1. DAC0832

    0下载:
  2. 文件名:DAC0832.VHD 功能:产生频率为762.9Hz的锯齿波。 -File Name: DAC0832.VHD Function: generate the sawtooth frequency of 762.9Hz.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:3168
    • 提供者:Aria
  1. DAC0832

    0下载:
  2. DAC0832 接口电路程序,产生频率为762.9Hz的锯齿波-DAC0832 interface circuit process, resulting in the sawtooth frequency of 762.9Hz
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-13
    • 文件大小:3163
    • 提供者:葛棋棋
  1. 20090903FPGA

    0下载:
  2. 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与F
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:532439
    • 提供者:zhangying
  1. AD

    0下载:
  2. AD使用技巧及AD0832的应用包括制作数字电压表,产生锯齿波等-AD using skill and AD0832 applications including the production of digital voltage meter, resulting in sawtooth wave, etc.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:459682
    • 提供者:yanhe
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 31 »
搜珍网 www.dssz.com