CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 除法器

搜索资源列表

  1. subr

    0下载:
  2. VHDL 8位无符号除法器 试验报告 计算前在A和B端口输入被除数和除数,然后在Load线上送高电平,把数据存到除法计算电路内部,然后经过若干个时钟周期,计算出商和余数,并在C和D端输出。 其实现方法是,将除法器分为两个状态:等待状态与运算状态。 开始时除法器处于等待状态,在该状态,在每一时钟上升沿,采样Load信号线,若是低电平,则仍处于等待状态,如果采样到高电平,除法器读取A,B数据线上的输入数据,保存到内部寄存器a_r,b_r,置c_r为0,d_r为a_r,判断除数是否为零,若
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:83109
    • 提供者:aa
  1. 数字系统设计教程4_9

    0下载:
  2. vhdl的几个编程,4位除法器的设计和原理说明,还有8位CPU设计-VHDL programming, the four division and the design principle that there are eight CPU Design
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:244725
    • 提供者:刘建
  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. DIVIDER

    0下载:
  2. 除法器,这是一个简单的除法器,虽然位数不是很长,但是可以通过这个程序延伸-divider, which is a simple divider, while the median is not very long, but it extends through this procedure
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1503
    • 提供者:田晓雷
  1. VHDL5

    0下载:
  2. 加法器 乘法器电路 除法器电路设计 键盘扫描电路设计 显示电路-Adder multiplier circuit divider circuit design keyboard scan circuit design show circuit, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6607
    • 提供者:ngy68
  1. fixed_pointDivider

    0下载:
  2. 本人编写的定点除法器,开发软件为XILINX的ISE6.2,通过PAR仿真.-I prepared for the sentinel division, the development of software for the ISE6.2 Xilinx, PAR through simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:397437
    • 提供者:litao
  1. divider1

    0下载:
  2. FPGA 除法器程序-FPGA divider procedures
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1147
    • 提供者:chenlei
  1. VHDLchufaqi

    0下载:
  2. MAXPLUS2 自己编写的VHDL 4位除法器-MAXPLUS2 prepare themselves VHDL four Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:129113
    • 提供者:刘建
  1. arban

    0下载:
  2. 这是一个用verilog实现的除法器代码。-This is a realization of the use verilog divider code.
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:976
    • 提供者:arban
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. verlog_basic

    0下载:
  2. 用verlog语言编的一些基础实验,适合于FPGA/CPLD的初学者。内容包括8位优先编码器,乘法器,除法器,多路选择器,二进制转BCD码,加法器,减法器等等。-verlog used some language addendum to the basic experiment, which is suitable for FPGA / CPLD beginners. Including eight priority encoder, multipliers, dividers, multi-p
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:1004071
    • 提供者:leolili
  1. DivArrUns

    0下载:
  2. 用VHDL实现的除法器,非常好使,仿真通过了
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3036
    • 提供者:初德进
  1. divider

    0下载:
  2. 一个用VHDL语言编写的除法器程序,对从事硬件开发的同志有帮助的。
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1621
    • 提供者:毛江飞
  1. Afixed-pointbasecomplementdivider

    0下载:
  2. 由寄存器,全加器,移位寄存器,计数器,触发器和门电路构成补码一位除法器,将开关设定的补码形式出现的除数,被除数存入相应寄存器中.能用单脉冲按步演示运算全过程.
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:143809
    • 提供者:JOE
  1. divider

    1下载:
  2. 介绍了除法器的设计,采用verilogHDL语言,利用modelsim仿真验证,压缩包中包含了流程图
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:83931
    • 提供者:yaoyongshi
  1. div2

    1下载:
  2. 32位除法器 被除数和除数均为16位整数,16位小数 商为32位整数,16位小数 余数为16位整数,16位小数 Verilog HDL 代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1528
    • 提供者:李春阳
  1. testbench

    1下载:
  2. 32位除法器的测试程序, 由随机向量产生函数产生一组随机数 来验证计算书否正确
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5660
    • 提供者:李春阳
  1. dividers.tar

    0下载:
  2. 无符号类型的除法器,有VHDL语言描述了无符号的除法器,包括测试文件
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4925
    • 提供者:asdtgg
  1. 实用verilog代码(乘法器,触发器,FIFO等)

    3下载:
  2. 本文件包含一些实用verilog程序代码,包括乘法器,除法器,伽罗瓦域乘法器,CORDIC数字计算机的设计,异步FIFO设计,伪随机序列应用设计,RS(204,188)译码器的设计,都是可综合的。对研究这部分的朋友有一定的帮助。
  3. 所属分类:源码下载

    • 发布日期:2010-12-19
    • 文件大小:28867
    • 提供者:zhanxin0319
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com