CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - FPGA I2C

搜索资源列表

  1. AudioVideoConfig

    0下载:
  2. 实现音频和视频器件的配置。器件使用的使Altera FPGA,配置方式使用乐I2C接口。-The configuration of audio and video devices. The device used in Altera FPGAs, configured to use the music I2C interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1207603
    • 提供者:qiumh
  1. i2c_slave

    0下载:
  2. i2c slave 代码,可综合,通过fpga验证-i2c slave code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1341
    • 提供者:boiiod
  1. i2c_master

    0下载:
  2. i2c 主机代码 可综合,通过fpga验证-I2C master code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1405
    • 提供者:boiiod
  1. host

    0下载:
  2. I2C控制器源代码,可以被综合,经过fpga验证,与大家分享。-I2C controller source code can be integrated, after fpga verification, to share with you.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7774
    • 提供者:mmmm1111111111
  1. hostif

    0下载:
  2. 好用的I2C相关的verilog源代码,可以经过fpga验证。-Easy to use I2C verilog source code after the fpga verification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:159101
    • 提供者:xyzzzzzzzz
  1. klc_iic

    0下载:
  2. 基于I2C接口的的从机verilog代码,带子地址的发送方式,已下FPGA板子调通,大家可以借鉴。-Based on the I2C interface of the Verilog code, the tape address to send the next FPGA board tune pass, we can learn from.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1651
    • 提供者:lily
  1. A61EDAn

    0下载:
  2. 分析了各种视频采集方案的研究现状。对如何采用CCD 摄像头采集高分辨率、高质量的图像以及基于FPGA 的嵌入式视频图像采集系统的实现方法进行了研究。采用了以摄像头+ 解码芯片模式为采集方案, 针对视频频解码芯片ADV7181B,实现了I2C 总线配置、ITU656 解码、VGA 显示模块的设计。设计的视频采集控制器已经在Altera 公司的CycloneII系列FPGA(EP2C35)上实现。结果显 -Status of a variety of video capture programs
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:179733
    • 提供者:noahkk
  1. ipI2C

    0下载:
  2. IP核的设计与验证,使用I2C进行FPGA与FPGA之间进行通信-Design and verification of IP cores, using I2C communication between the FPGA and the FPGA. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1104919
    • 提供者:逸风
  1. main_i2c

    0下载:
  2. the complete i2c core written in vhdl and tested on sparten 6 fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:1678158
    • 提供者:shahzad
  1. I2C_Test

    0下载:
  2. I2C接口模块,用于连接符合I2C总线接口标准协议的传感器或者其他设备。FPGA验证通过-I2C bus interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:9079808
    • 提供者:引文
  1. iic

    0下载:
  2. 用FPGA verilog HDL模拟I2C通信-FPGA verilog HDL simulation I2C communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:797249
    • 提供者:高飞
  1. design

    0下载:
  2. I2C总线的fpga实现,完整工程,已验证通过-Fpga implementation of the I2C bus, complete engineering, has been verified through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:201664
    • 提供者:sun
  1. I2C_400K

    0下载:
  2. 通过I2C接口实现FPGA和PC通信的Verilog源代码,测试条件400KHz,I2C接口内部集成了寄存器。-The Verilog FPGA and PC communications through the I2C interface source code, test conditions 400KHz I2C interface internal integration register.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:128407
    • 提供者:曹操
  1. FPGA_I2C_Verilog

    0下载:
  2. fpga上用verilog HDL实现的I2C协议,逻辑编写清晰正确,值得新手借鉴-FPGA on the use the verilog HDL implementation of the I2C protocol, logical write clear and correct, worth novice reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:7177
    • 提供者:fan
  1. MAX1037_ADC

    1下载:
  2. fpga控制ADC max1037.采用openbus设计方法。通过I2C来读取ADC的数据-FPGA to control the ADC MAX1037 the OpenBus design method. To read through the I2C ADC data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:62242
    • 提供者:
  1. MAX5841_DAC

    0下载:
  2. nanoboard中的fpga通过I2C控制和读写DAC芯片max5841,采用openbus的设计方法。-FPGA nanoboard controlled via I2C read and write DAC chip max5841 OpenBus design method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:62207
    • 提供者:
  1. FPGA_BasicProgram

    0下载:
  2. 本人收集大部分FPGA的基础参考例程,包括各种接口技术如I2C,RS232,UART,SPI,PS/2等,还有驱动各种LCD如1602,NOKIA5110,FPGA超声波测距,FPGA控制ADS7825,ADS7844,ADS2807,THS1206,TLC2543,TLC5510A,MAX1312等,FPGA控制舵机,步进电机,niosii。-I collected most of the FPGA-based reference routines, including a variety o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-18
    • 文件大小:26401792
    • 提供者:欧文杰
  1. I2C_i2c

    0下载:
  2. fpga例程:用fpga实现i2c串口通讯的vhdl详细代码,完整的quartus工程,可直接用-fpga routines: i2c serial communication with fpga implementation details of vhdl code, complete quartus project, can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:860830
    • 提供者:刘畅
  1. FPGA12_I2C

    0下载:
  2. 基于FPGA Verilog I2C 接口通讯-Based on the FPGA Verilog I2C interface communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3189977
    • 提供者:宋贵来
  1. i2c_ctrl

    0下载:
  2. FPGA通过i2c总线控制多个e2prom器件进行片选,读写操作-The FPGA chip select, read and write operations through i2c bus control multiple devices e2prom
  3. 所属分类:Com Port

    • 发布日期:2017-04-02
    • 文件大小:2769
    • 提供者:fengzheng
« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com