CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - FPGA QPSK

搜索资源列表

  1. modulation

    1下载:
  2. 基于FPGA的调制,实现了QPSK调制,所用芯片为Artera的CycloneIIEp2C5T114C8
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:996
    • 提供者:liqijun
  1. FPGA_QPSK

    0下载:
  2. 本文件是基于FPGA的QPSK调制解调性能仿真
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:205554
    • 提供者:lily
  1. bs

    0下载:
  2. 基于FPGA的ASK,FSK,PSK及QPSK的调制与解调,并做成了系统-FPGA-based ASK, FSK, PSK and QPSK modulation and demodulation, and made the system
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:353436
    • 提供者:张启富
  1. qpsk_send

    0下载:
  2. QPSk发射过程的FPGA实现程序!参考意义重大!-The fpga implementation program of qpsk send process.with great reference value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:722306
    • 提供者:li tony
  1. All-DigitalQPSK-Demodulator

    0下载:
  2. Altem公司quartus II 8.1开发环境下,完成了中频全数字解调器的FPGA实现,并对数 字下变频、载波同步、位同步等解调器的核心模块设计进行了详细的分析和说明,给出 了实现框图和仿真波形。同时在本设计中应用了Altera公司的NiosII软核处理器技术, 用于载波的大频偏校正和解调器各个部分的监测和控制。最后给出了QPSK中频全数字 解调器关键性能指标的测试方法和测试结果,测试结果表明本设计达到了预期的性能指 标要求。-The Algorithm is con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4328174
    • 提供者:zhuimeng
  1. QPSKmodulationanddemodulation

    3下载:
  2. 这是一个QPSK比较完整的FPGA工程,是用Verilog语言写的,主要包括调制解调模块。-This is a QPSK FPGA project is written in Verilog language, including the modem module.
  3. 所属分类:Modem编程

    • 发布日期:2014-01-12
    • 文件大小:456096
    • 提供者:
  1. QPSK_fpga

    1下载:
  2. QPSK调制和解调的FPGA实现,包括伪码生成等模块-QPSK modulation and demodulation of the FPGA, including the pseudo-code generation modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-02
    • 文件大小:1930240
    • 提供者:vincentspace
  1. OQPSKzipF

    1下载:
  2. OFDM系统中的QPSK调制,实用用verilog编程,用于FPGA设计 -QPSK modulation in OFDM systems, practical programming with verilog for FPGA design
  3. 所属分类:Windows Develop

    • 发布日期:2015-01-16
    • 文件大小:1024
    • 提供者:陪同
  1. qpsk_demod_use_FPGA

    2下载:
  2. 根据软件无线电的思想,提出了一种新颖的数字信号处理算法,对QPSK信号的相位进行数字化处理,从而实现对QPSK信号的解调.该算法允许收发两端载波存在频差,用数字锁相实现收发端载波的同步,在频偏较大的情况下,估算频偏的大小,自适应设置环路的带宽,实现较短的捕获时间和较好的信噪性能。整个设计基于XILINX公司的ISE开发平台,并用Virtex-II系列FPGA实现。用FPGA实现调制解调器具有体积小、功耗低、集成度高、可软件升级、扰干扰能力强的特点,符合未来通信技术发展的方向。-According
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-08
    • 文件大小:64716
    • 提供者:马文
  1. QPSK_R

    1下载:
  2. QPSK的FPGA实现,QPSK的调制实现-FPGA implementation of QPSK QPSK modulation to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:13946880
    • 提供者:姜新洲
  1. QPSK_T

    3下载:
  2. QPSK解调器的FPGA实现,VERILOG源码-FPGA implementation of QPSK demodulator,VERILOG source
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:4071290
    • 提供者:姜新洲
  1. QPSK

    1下载:
  2. 基于FPGA的QPSK实现调制解调,主程序,测试程序都有-FPGA-based implementation of QPSK modulation and demodulation, the main program, the test program has
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-02
    • 文件大小:1442816
    • 提供者:卢梦明
  1. FPGA

    1下载:
  2. verilog编写的QPSK发射机的FPGA部分,已经过验证,完全达到要求。调制矢量误差4%-QPSK transmitter verilog prepared by the FPGA portion, has been proven, fully meet the requirements. Modulation vector error of 4
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:13346196
    • 提供者:zhengqi
  1. fpga_qpsk_fsk

    2下载:
  2. 采用TI的DSP6713协同ALTERA的FPGA芯片实现数字qpsk和FSK调制,并仿真测试成功-TI s DSP6713 collaborative ALTERA FPGA chip digital qpsk and FSK modulation and simulation test was successful.
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-09
    • 文件大小:10637914
    • 提供者:luomeigang
  1. QPSK-code--FPGA

    0下载:
  2. 一种基于FPGA的Q​ P​ S​ K​ 调​ 制​ 解​ 调​ 的代码仿真,很实用-A code Q P S K modulation demodulation of FPGA-based emulation, it is practical
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:3763
    • 提供者:杨皓然
  1. modulation-and-demodulation

    2下载:
  2. 调制与解调系统的FPGA设计实现,包括2-ASK调制和解调,2-FSK调制和解调,2-PSK调制和解调,QPSK调制和解调,PPM调制和解调的verilog源代码。-FPGA design implementation of modulation and demodulation system, including 2-ASK modulation and demodulation, 2-FSK modulation and demodulation, 2-PSK modulation and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5398
    • 提供者:xuweiwei
  1. modulation

    0下载:
  2. 基于FPGA的QPSK调制library ieee use ieee.std_logic_1164.all -FPGA QPSK modulation
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:731
    • 提供者:李晨曦
  1. QPSK

    0下载:
  2. QPSK调制是数字调制解调中 最为常见的调制方式之一,此Verilog 文件可以在FPGA上实现QPSK的调制方式-QPSK modulation is one of the most common digital modem modulation scheme, this Verilog file QPSK modulation scheme can be implemented on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:796
    • 提供者:陆从乐
  1. d974d4330bf7

    2下载:
  2. 这是一个非常完整的qpsk调制解调用fpga实现的工程,在工程中已经能够正常使用,使用的quartus ii 开发,使用Verilog语言,文件中还包含了各种滤波器的系数文件,还有matlab仿真文件,整个工程包含从串并变换,相位映射,到成型滤波,中通滤波,cic滤波,调制,再到解调过成的下变频,匹配滤波,载波提取,位定时,判决,整个完整的过程(This is a very complete QPSK modulation and demodulation using FPGA implemen
  3. 所属分类:串口编程

    • 发布日期:2018-04-21
    • 文件大小:13488128
    • 提供者:maerzaizai
  1. 基于GMR-1系统下行链路的π_4-CQPSK解调方法

    1下载:
  2. pi4 qpsk的付费论文,讲述FPGA实现的,非常值得学习(Pi4 QPSK's paid papers will be very useful for FPGA.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-15
    • 文件大小:516096
    • 提供者:Haiton
« 1 23 »
搜珍网 www.dssz.com