CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - SDR

搜索资源列表

  1. biji

    0下载:
  2. avr ucos 部分笔记 几个特殊函数 打开文档需要sdr
  3. 所属分类:uCOS开发

    • 发布日期:2008-10-13
    • 文件大小:139894
    • 提供者:liupan
  1. SDR_Simulator_v112

    0下载:
  2. 集群通信Motorola Dimertra中SDR的模拟程序
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:155827
    • 提供者:xiaoqiuliu
  1. 61EDA_C52

    0下载:
  2. 标准SDR SDRAM控制器参考设计,有助于大家学习和参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:205758
    • 提供者:王廷龙
  1. sdr

    3下载:
  2. 软件无线电中信号调制方式的识别——用人工神经网络的方法
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2008-10-13
    • 文件大小:9606
    • 提供者:吴晨光
  1. (fpga)sdram.rar

    0下载:
  2. verilog 代码,读写SDRAM 不带仿真,需要自己编写测试文件,Verilog code, read and write SDRAM simulation without the need to prepare their own test documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19935130
    • 提供者:ch
  1. xapp622.zip

    2下载:
  2. 644 MHz SDR LVDS 发射器/接收器(verilog and doc),644-MHz SDR LVDS Transmitter/Receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:355745
    • 提供者:wicky
  1. sdr-core

    0下载:
  2. 一种软件无线电核心算法的演示,包含了傅里叶变换,降噪滤波,常用调试解调等-Core algorithm of a software radio demo, contains the Fourier transform, noise reduction filtering, demodulation and other common debugging
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:363578
    • 提供者:ak
  1. TEST.rar

    0下载:
  2. S3c2440硬件测试程序,主要功能包括:SDRAM读写测试,整片Falsh读写测试,Flash擦除,坏块检测,flash复制数据到SDRAM,S3c2440 hardware testing procedures, the main features include: SDRAM read and write tests, reading and writing test Falsh whole, Flash erase bad block detection, flash copy the
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-22
    • 文件大小:503092
    • 提供者:冯健
  1. SDRAM-control

    0下载:
  2. SDRAM控制器的Verilog源代码,主要用于SDR-SDRAM-SDRAM controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2824040
    • 提供者:金文超
  1. tracking

    0下载:
  2. This is GPS tracking matlab code. Performs code and carrier tracking for all channels.
  3. 所属分类:GPS develop

    • 发布日期:2017-03-27
    • 文件大小:4150
    • 提供者:Nelson Loh
  1. sdram-source

    0下载:
  2. SDR SDRAM 控制器的源代码 altera公司的-source code from altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:717820
    • 提供者:wela
  1. sdram-control-verilog

    2下载:
  2. SDRAM控制器源码,内含完整的控制器verilog源代码和测试代码,超值哈。-This readme file for the SDR SDRAM Controller includes information that was not incorporated into the SDR SDRAM Controller White Paper v1.1.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-18
    • 文件大小:991728
    • 提供者:runxin
  1. sdr_sdram_control

    0下载:
  2. 一个SDRAM控制器,verilog语言设计,并在ISE上仿真实现。(内部包含多个verilog程序)-sdram-controller,use verilog langguage,it s run sucessfull
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-28
    • 文件大小:162645
    • 提供者:李丽
  1. SDRAM_DMA

    0下载:
  2. 基于ADSP TS201的DMA传输程序,SDMA与TS201实现DMA传输-DMA on the ADSP TS201 transmission process, SDMA and the achievement of DMA transfer TS201
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:50060
    • 提供者:李刚
  1. altera_sdram

    0下载:
  2. Simple SDRAM controller source code for Altera DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7421
    • 提供者:leblebitozu
  1. SDRAM

    0下载:
  2. 基于TI 6416DSP的sdram读写程序-Based on the TI 6416DSP procedures sdram read and write
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:434815
    • 提供者:张振
  1. 2-SDRAM

    0下载:
  2. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:38392
    • 提供者:chu
  1. image-FIFO-SDRAM

    1下载:
  2. 图像缓存是图像处理系统设计的重点和难点,包括SDRAM和FIFO的设计,本PDF是设计图像缓存设计的好资料-sdram and fifo design for real-time image processing system
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2014-03-07
    • 文件大小:1146773
    • 提供者:张荣奎
  1. vedio-ch

    0下载:
  2. 最新的VGA单片机显卡原理图pcb,非常好哦-The latest single-chip VGA graphics schematic pcb, very好哦! ! !
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:2550
    • 提供者:正文
  1. DE2_70_TV

    0下载:
  2. 基于NIOS II的电视视频处理工程文件,很完整。-NIOS II on TV video processing project file, it is complete.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:163461
    • 提供者:高天天
« 1 2 3 4 56 7 8 9 10 »
搜珍网 www.dssz.com