CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VGA A

搜索资源列表

  1. pong

    0下载:
  2. vga verilog codes which design a pong game and output to vga monitor
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1454
    • 提供者:蔡俊仪
  1. vga_color

    0下载:
  2. this a sample about the VGA COLORBAR,the function of this code is show eight different colour in VGA,it s default installation is D:\\RedLogic\\RCII_samples, and the software environment is quatrusII 5.0,it is usefull for studying hardware.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:244157
    • 提供者:王明
  1. vga_blue_pro

    0下载:
  2. this a sample about the VGA BLUE,the function of this code is show blue in VGA,it s default installation is D:\\RedLogic\\RCII_samples, and the software environment is quatrusII 5.0,it is usefull for studying hardware.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:220975
    • 提供者:王明
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. 显示bmp图像的程序

    0下载:
  2. 640*480 256 色 .BMP 文件显示程序,可以浏览、同时显示 4 幅图象,也可以 在屏幕上开 一个窗口显示 .BMP 图象,并可以使用上下左右箭头键、PageUp/PageDown、Ctrl+Left、Ctr l+Right键浏览整幅图象,在S3、ATI等VGA卡上 调试通过-640 * 480256 color. BMP file display program can browse, also showed four images on the screen can also op
  3. 所属分类:GDI/图象编程

    • 发布日期:2008-10-13
    • 文件大小:4205
    • 提供者:郭指挥
  1. vgaout

    0下载:
  2. VHDL语言按VGA接口标准把数字图像信号转换成标准VGA格式。适合做学习试验-VHDL by VGA interface standards, digital image signal conversion into a standard VGA format. Suitable for the pilot study
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6964
    • 提供者:余飞
  1. VGA_Core

    1下载:
  2. 用VHDL语言写的VGA核心,是个很好很齐全的核心,有很多功能.-write VHDL VGA core, is a very good subset of the core, has a lot of functions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:359568
    • 提供者:朱思华
  1. opb_vga.EDK下的用户IP核

    0下载:
  2. 一个EDK下的用户IP核,进行OPB总线到VGA的转换,EDK under a user IP core, the OPB bus to VGA conversion
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-26
    • 文件大小:12181
    • 提供者:曹晶
  1. vga_hex_disp.rar

    0下载:
  2. 该项目可在VGA显示器上显示RAM或ROM中的十六进制数据,使用VerilogHDL语言编写,在QuartusII开发环境下验证。,The Project displays the content of memory cells in the form of hexadecimal numbers. It uses RAM and ROM memory modules available through special functions. This is why before compilin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:18416
    • 提供者:submars
  1. DE2_NIOS_HOST_MOUSE_VGA_short.

    0下载:
  2. 利用QUARTUS 和NOISE开发环境,在DE2开发板上开发的usb鼠标驱动,实现鼠标控制VGA的显示的功能,based on QUARTUS and NOISE using DE2 board,a program (using usb mouse control VGA )
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:3298861
    • 提供者:zoulu
  1. VGA_Test.rar

    0下载:
  2. 基于FPGA的VGA驱动代码VHDL 在显示屏显示一个汉字,FPGA-based VHDL code of the VGA driver that a character in the display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:770154
    • 提供者:sky
  1. CyCloneIII_VGA

    0下载:
  2. 基于CycloneIII EP3C25下的VGA显示控制驱动程序,代码具有较高的使用价值,推荐下载-Based CycloneIII EP3C25 VGA display under the control driver, the code has a high use value, recommended download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:210006
    • 提供者:庄晓奇
  1. VGA_FPGA

    0下载:
  2. 我用FPGA verilog语言写的VGA显示程序,是我做的一个课程设计,在显示器上显示我的学号20082831.当然也可以改的,里面有三个文件,一个是头文件。-FPGA verilog language written with VGA display program, I do a course design, displayed on the monitor my student number 20082831. Of course, can be changed, there are t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:400745
    • 提供者:张亮
  1. Verilog_VGA.rar

    0下载:
  2. 程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色,可以使用嵌入式逻辑分析仪观测信号。,Procedures for the realization of the function is displayed on the monitor in the VGA color stripes, a total of eight kinds of colors, you can use the embedded logic analyzer signal observation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1127875
    • 提供者:chalin tong
  1. fbi_1.31.tar.gz

    0下载:
  2. linux下开源图片codec,可显示png,jpg,bmp等,还可交叉编译,在ARM平台运行。,This is a image viewer for framebuffer devices. It is quick & dirty hacked off a svgalib PhotoCD viewer. This background is the reason for a few limits: * It can handle the usual VGA graphics m
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-21
    • 文件大小:92194
    • 提供者:张洪
  1. video_vga.rar

    0下载:
  2. 基于Seed-DEC6437开发版,实现从摄像头输入视频,经6437处理后输出到VGA显示器上,Development of Seed-DEC6437-based version of input from a video camera, processed by the 6437 output to VGA monitor
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:92401
    • 提供者:redarc
  1. VGACONTROL_OK

    0下载:
  2. c /c++的智能VGA控制资料。值得大家一看-c/c++ smart VGA control information. Worth a look! !
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-06
    • 文件大小:1353593
    • 提供者:小刚
  1. VGA_Ctrl

    0下载:
  2. 基于NIOS II 的DE1开发板的VGA 控制器VGA控制模块主要控制VGA模块的开始和其运行的状态,需要写一个Avalon 从端口响应CPU的控制信号,继而控制整个模块的运行,-Based on the DE1 of the NIOS II development board VGA controller to control the VGA module VGA main control module and its operation began, and the need to wri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:696
    • 提供者:黄涛
  1. cameralink

    0下载:
  2. 由于目前基于CameraLink接口的各种相机都不能直接显示,因此本文基于Xilinx公司的Spartan 3系列FPGAXC3S1000-6FG456I设计了一套实时显示系统,该系统可以在不通过系统机的情况下,完成对相机CameraLink信号的接收、缓存、读取并显示 系统采用两片SDRAM作为帧缓存,将输入的CameraLink信号转换成帧频为75Hz,分辨率为1 024×768的XGA格式信号,并采用ADV7123JST芯片实现数模转换,将芯片输出的信号送到VGA接口,通过VGA显示器显示
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:13232
    • 提供者:lilei
  1. CPLD

    0下载:
  2. 用vga显示俄罗斯方块基于fpga但是不是真正的游戏俄罗斯方块-Tetris with vga display based on the fpga, but not a true game Tetris
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2303756
    • 提供者:万小中
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 24 »
搜珍网 www.dssz.com