CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VGA A

搜索资源列表

  1. VGA

    0下载:
  2. 用FPGA实现VGA控制器,在屏幕上显示红绿蓝三种条文-FPGA Implementation of a VGA controller, displayed on the screen red, green and blue three provisions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:282565
    • 提供者:谢浩鹏
  1. vga

    0下载:
  2. verilog语言编写的一个vga程序,是vga显示程序,用Quartus II 9.1 编写-a vga verilog language program is a vga display program, the Quartus II 9.1 to write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:829382
    • 提供者:mr liu
  1. FPGA-VGA

    0下载:
  2. verlog 写的FPGA驱动VGA现实的一个简单小实例-a simple example of driven by the FPGA VGA reality verlog write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2565772
    • 提供者:fangwei
  1. VGA-VBBB

    0下载:
  2.  基于VB的遗传算法软件实现在程序中,FitnessValue (i) 为适应度值数组、avFit2nessValue (100) 为归一化适应度值数组、、Population2 Chrom(i ,j) 为遗传个体的等位基因值、Popsize 为种群中的个体数,CHROMLENGTH为一母体对的等位基因总数。 -Based on the VB genetic algorithm software implementation in the program, FitnessValue (i)
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:931
    • 提供者:weimingmm
  1. vga_lcd

    0下载:
  2. VGA/LCD控制 ip核,支持 CRT LCD,支持多种色彩方案。-VGA/LCD control ip core, support CRT LCD, supports a variety of color schemes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:611713
    • 提供者:XU
  1. VGA

    0下载:
  2. 基于FPGA EPM1270芯片的VGA Verilog显示程序,已测试,完全正常使用,引脚已配好-VGA Verilog FPGA EPM1270 chip-based display program, test, and completely normal use, the pin with a good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-30
    • 文件大小:336896
    • 提供者:周涛
  1. vga

    0下载:
  2. vga 使用vhdl语言实现一张图片的显示,具有完整的工程及源代码,下载验证通过(注意硬件差别)-vga vhdl language to display a picture, have a complete engineering and source code, download the verification (note the hardware differences)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:693248
    • 提供者:liezhuo
  1. UART-SPI-I2C-VGA

    1下载:
  2. 里面有i2c,uart,spi的代码,也是从别的地方下的觉得还不错,,与大家分享一下,做个参考-I2c, uart, spi code inside, but also from elsewhere feel pretty good, and we share with you, to be a reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:504471
    • 提供者:娃娃
  1. VGA

    0下载:
  2. 通过对其编程可输出RGB三基色信号和HS 、VS行场扫描同步信号。当 CPLD接受单片机输出的控制信号后,内部的数据选择器模块根据控制信号选通相应的图像生成模块,输出图像信号,与行场扫描时序信号一起通过15针D型接口电路送入VGA显示器,在VGA显示器上便可以看到对应的彩色图像。-Through its programming output RGB trichromatic signals and synchronization signals HS, VS line field scannin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:3536
    • 提供者:苗静
  1. VGA

    0下载:
  2. 这是我自己做的一个FPGA控制VGA,800*600*60,用的是20Mhz倍频到40MHz做的-This is a FPGA project using for VGA control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:3638498
    • 提供者:bosco
  1. vga

    0下载:
  2. 可以实现多种基于vga图形变换和运动方式-Can achieve a variety of vga graphics transformation and movement
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:1101
    • 提供者:魏瑾瑜
  1. vga

    0下载:
  2. 一个VHDL的VGA显示程序,设置的分辨率为640*480,这个程序很简单,仅供参考。-A VHDL VGA display, set the resolution to 640* 480, the program is very simple, for reference only.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:799
    • 提供者:李国庆
  1. vga

    0下载:
  2. 此例程为基于FPGAVGA/LCD显示控制的实例,用Verilog语言实现。代码中有详细注释。并有相应的仿真代码,可以验证其功能完整性。-This routine for the FPGAVGA/LCD display control based on examples, using Verilog language. The code has detailed notes. And a simulation code corresponding, can verify its function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:137980
    • 提供者:张彦钦
  1. VGA

    0下载:
  2. 是配套与FUSION开发板上的VGA实验所用的完整工程,可以作为联系,让初学者快速掌握-Is supporting the development board VGA with FUSION used in the experiments of the complete works, can serve as a contact, so that beginners grasp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:579799
    • 提供者:胡杨
  1. vga

    0下载:
  2. verilog语言编写的VGA图像显示,此模块可以直接使用,可以帮助你很好地掌握VGA的驱动-Verilog language VGA image display, the module can be used directly, can help you have a good grasp of the VGA driver
  3. 所属分类:Picture Viewer

    • 发布日期:2017-03-31
    • 文件大小:2074
    • 提供者:wangjianfei
  1. VGA

    0下载:
  2. 在640*480分辨率的VGA显示画面下,显示一个十字架-At 640* 480 resolution VGA display screen, display a crucifix
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:326611
    • 提供者:吴莹妍
  1. vga

    0下载:
  2. vga,视频显示源代码,很好用,自己在板子上看看,我用了成功了。-vga, video display source code, very good, and their look on the board, I used a success.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:421185
    • 提供者:廖飞
  1. vga

    0下载:
  2. 该工程设计需要在VGA 显示器上显示背景为蓝色,中央显示一个绿色的边框和一个粉 色的矩形-The project design requires a VGA monitor to display a blue background, the central display a green border and a pink rectangle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1044
    • 提供者:王世豪
  1. vga control

    0下载:
  2. This tutorial familiarizes you with the Nios® II Software Build Tools (SBT) for Eclipse and the MicroC/OS-II development flow. The Nios II SBT for Eclipse offers designers a rich development platform for Nios II applications. The Nios II SBT for Ecli
  3. 所属分类:VHDL编程

  1. VGA-800X600@72Hz

    0下载:
  2. VGA显示一个汉字,带VGA时序,显示颜色为红色,可调色。800*600的显示屏,刷新率位60HZ-VGA display a character with VGA timing, display color is red, can be color. 800* 600 display, bit 60HZ refresh rate
  3. 所属分类:Graph program

    • 发布日期:2017-05-11
    • 文件大小:2434413
    • 提供者:刘凯伟
« 1 2 3 4 5 67 8 9 10 11 ... 24 »
搜珍网 www.dssz.com