CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHD

搜索资源列表

  1. shouhuoji.vhd

    0下载:
  2. 自动售货机程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1885
    • 提供者:zhangfeng
  1. bujindianji.vhd

    0下载:
  2. 步进电机的vhdl源程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1398
    • 提供者:zhangfeng
  1. TLC7524.vhd

    0下载:
  2. TLC7524的接口源程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1335
    • 提供者:zhangfeng
  1. 64_test_vectors

    0下载:
  2. 交通灯设计 请注意: 本例的各个源描述的编译顺序应该是: 64_tlc.vhd 64_test_vector.vhd
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1392
    • 提供者:fefengfan
  1. Assembler

    0下载:
  2. 在FPGA的嵌入式picoblaze设计中使用到的汇编器,在DOS下就可方便使用,方法:首先进行DOS命令窗,进行工作目录,运行kcpsm3 <filename>.psm 编译通过将生成VHD文件
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:50836
    • 提供者:张杰
  1. SIMTUT_TB.VHD

    0下载:
  2. 用StateCAD设计一个“串进并出的加法器”状态机,并使用StateCAD测试激励生成器设计测试激励,验证该状态机,掌握完整的StateCAD设计流程.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13380
    • 提供者: 程凯
  1. stopwatch_tb.vhd

    0下载:
  2. 用ISE中各种工具设计“运动计时表”.加深对FPGA/CPLD设计流程的理解,体会ISE集成的各种设计工具的使用方法与技巧。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:349473
    • 提供者: 程凯
  1. 32×4bitRAM

    0下载:
  2. 32×4bit 的RAM设计。VHD语言。能在ISE上仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3635
    • 提供者:张军
  1. butter_lib.vhd

    0下载:
  2. 大型门阵的快速傅立叶变换算法的实现 以及研究 有关1024点的快速傅立叶变换
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3271
    • 提供者:李明
  1. PCI-T32

    0下载:
  2. PCI.VHD, THE INTERFACE MODULE WITH PCI AGENT CHIP --v1.0: For CY7C9689, First Version working on L01A chip --V2.0: For simplified PCI Agent, Xilinx and AMD chips
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1785
    • 提供者:7845623
  1. VHD

    0下载:
  2. 低电平脉冲状态的捕抓(多个)缓存并 用减小的并口线输出
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:黄宜宾
  1. work1ADD8

    0下载:
  2. 组合电路的设计8位加法器设计(ADD8.vhd)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:56970
    • 提供者:lkiwood
  1. 17_parity

    0下载:
  2. 本例对各个文件进行编译的顺序是: 17_parity.vhd 17_test_bench.vhd
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2026
    • 提供者:
  1. 1243687

    0下载:
  2. 数字频率计VHDL程序 --文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1698
    • 提供者:S__G__J
  1. iic_vhdl

    0下载:
  2. iic总线控制器VHDL实现 -- VHDL Source Files: i2c.vhd -- top level file i2c_control.vhd -- control function for the I2C master/slave shift.vhd -- shift register uc_interface.vhd -- uC interface function for an 8-bit 68000-like uC u
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:889991
    • 提供者:benny
  1. pll

    0下载:
  2. 用VHDL写的数字锁相环程序 pll.vhd为源文件 pllTB.vhd为testbench-pll.vhd : PLL written in VHDL hardware language. pllTB.vhd is a test program for pll.vhd.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:111853
    • 提供者:孙犁
  1. DDS小数分频

    0下载:
  2. 文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉): DDS小数分频 ...........\Block1.vhd.bak ...........\db ...........\..\add_sub_9mh.tdf ...........\..\DDS.asm.qmsg ...........\..\DDS.asm_labs.ddb ...........\..\DDS.cbx.xml ...........\..\DDS.cmp.
  3. 所属分类:VHDL编程

  1. BCH并行编码器vhd

    0下载:
  2. BCH并行编码器vhdl代码
  3. 所属分类:其他小程序

    • 发布日期:2011-05-02
    • 文件大小:2149
    • 提供者:qinfeng
  1. 数字频率计VHDL程序与仿真

    0下载:
  2. 数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。 ,Digital Cymometer VHDL procedures and simulation of the file name: plj.vhd.- Function: frequency meter. With four shows that will automatically coun
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:100190
    • 提供者:小草
  1. 数字信号处理的fpga实现

    0下载:
  2. 数字信号处理的fpga实现,用VHDL语言编程实现IIR滤波器,Digital signal processing to achieve the FPGA, using VHDL language programming to achieve IIR filter
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:1214
    • 提供者:songjunmin
« 1 2 3 45 6 7 8 9 10 ... 19 »
搜珍网 www.dssz.com