CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHD

搜索资源列表

  1. logicassign

    0下载:
  2. 同一基类型的两分辨类型的赋值相容问题,各个源描述的编译顺序是:logic.vhd,assign.vhd-The same base type to distinguish the two types of assignment compatibility issues, the various sources described in the order of the compiler: logic.vhd, assign.vhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1937
    • 提供者:李扬
  1. GCD

    0下载:
  2. 最大公约数的计算,各个源描述的编译顺序:gcd.vhd,gcd_stim.vhd-The common denominator of the calculation, the various sources described in the order of the compiler: gcd.vhd, gcd_stim.vhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2036
    • 提供者:李扬
  1. gcd_disp

    0下载:
  2. 最大公约数七段显示器编码,各个源描述的编译顺序gcd_disp.vhd,vhdl.vhd,stim.vhd-Seven-Segment Display common denominator coding, various sources described in order to compile gcd_disp.vhd, vhdl.vhd, stim.vhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3153
    • 提供者:李扬
  1. TLC

    0下载:
  2. 交通灯控制器编码,源描述的编译顺序tlc.vhd,est_vector.vhd-Traffic lights controller code, the source described in order to compile tlc.vhd, est_vector.vhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2527
    • 提供者:李扬
  1. conditioner

    0下载:
  2. 空调系统有限状态自动机编码,各个源描述的编译顺序conditioner.vhd,conditioner_stim.vhd-Air-conditioning systems finite state automata encoding, various sources described in order to compile conditioner.vhd, conditioner_stim.vhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1717
    • 提供者:李扬
  1. 61EDA_D1077

    1下载:
  2. 数字钟电路原理图程序清单 ********顶层程序描述*********** 程序:TIMER_SET.VHD-Digital clock circuit schematic process procedures described in the top of the list of******************* procedures: TIMER_SET.VHD
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-29
    • 文件大小:9570
    • 提供者:yimilai
  1. polar2rect_VHDL

    0下载:
  2. 是codic算法实现atan的virilog程序,模块结构如下:Core Structure: sc_corproc.vhd->p2r_cordic.vhd->p2r_cordicpipe.vhd-Atan is the codic algorithm virilog procedures, module is structured as follows: Core Structure: sc_corproc.vhd-> p2r_cordic.vhd-> p2r_cord
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3046
    • 提供者:张堃
  1. wave_produce_VHDL

    0下载:
  2. --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10315
    • 提供者:huangsong
  1. frequence_VHDL

    0下载:
  2. 采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 -Such as the accuracy of frequency measurement using the principle of the frequency of the procedure and simulation- the file name: PLJ.vhd.- Function: 4 shows, such as precision frequency me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:123600
    • 提供者:huangsong
  1. SYNTHPIC.ZIP

    0下载:
  2. The Synthetic PIC Verion 1.1 This a VHDL synthesizable model of a simple PIC 16C5x microcontroller. It is not, and is not intended as, a high fidelity circuit simulation. This package includes the following files. Note that the licen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:48670
    • 提供者:likui
  1. JIAOTONGDENG

    0下载:
  2. 本程序(jtd.vhd)是十字路口交通等的主程序,其是顶层电路模块。-This procedure (jtd.vhd) are at the crossroads of the main transportation, it is the top-level circuit module.
  3. 所属分类:transportation applications

    • 发布日期:2017-04-14
    • 文件大小:3491
    • 提供者:Orange
  1. stereo_vision

    0下载:
  2. Stereo-Vision circuit descr iption, Aug 2002, Ahmad Darabiha This design contains four top level circuits: sv_chip0.vhd, sv_chip1.vhd, sv_chip2.vhd and sv_chip3.vhd each of them built by one Virtex2000E fpga chip. This design is hierarchical an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:421272
    • 提供者:junsung
  1. VHD_QCOW

    0下载:
  2. CRITRIX 提供有关QCOW 及最新支持VHD虚拟磁盘文件操作源码-critrix qcow and vhd
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:22418
    • 提供者:ugdev
  1. vh2sc

    2下载:
  2. 将VHDL转换为C的软件 将VHDL转换为C的软件-VH2SC is a free basic VHDL to SystemC converter. The converter handles a small subset of Synthesisable VHDL 87/93 language constructs. The current version translates all VHDL IEEE types to sc_int/sc_uint/integers and boole
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-29
    • 文件大小:819303
    • 提供者:whiz
  1. test

    0下载:
  2. Verilog test file not vhd-Verilog test file not vhd
  3. 所属分类:source in ebook

    • 发布日期:2017-04-08
    • 文件大小:101485
    • 提供者:gijo
  1. Rs232sourcecode

    0下载:
  2. Working RS232 controller running at 9600 Hz. Consist of Transmitter and Receiver Module. Tested in FPGA Spartan 3 Included files for testing at FPGA - Scan4digit .vhd - to display at 7 sgement display - D4to7 .vhd - Convert HEX decimal to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4831
    • 提供者:Ikki
  1. usb_blaster

    0下载:
  2. 文件列表(日期:2005080604~2009101613)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2368423
    • 提供者:zhaojun
  1. 8080cpu

    0下载:
  2. this code for cpu 8080 design -this is code for cpu 8080 design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:9533
    • 提供者:minh
  1. dingshi

    0下载:
  2. quarters2编写的定时器.vhd为源程序-prepared quarters2 timer. vhd for source
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:132888
    • 提供者:lc
  1. clk_div.vhd

    0下载:
  2. 实现对时钟信号的技术分频,程序简单易懂,对于初学VHDL者来说,提供了一个良好的方法。-Implementation of the clock signal frequency technology, the program easy to understand, for the beginner who VHDL, provides a good approach.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1516
    • 提供者:王宇坤
« 1 2 3 4 5 67 8 9 10 11 ... 19 »
搜珍网 www.dssz.com