CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 加密

搜索资源列表

  1. caijing

    0下载:
  2. 这个是加密U盘的VHDL的源程序兼教学辅导,很具有实用性-U disk that is encrypted VHDL source program and coaching, it is practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2363197
    • 提供者:张慧慧
  1. mini_aes_latest.tar

    0下载:
  2. mini_aes加密算法的vhdl实现,带有简易PDF介绍-mini_aes encryption algorithm vhdl implementation, introduced with a simple PDF
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:492372
    • 提供者:贾建鑫
  1. RBBaasicRSAS

    0下载:
  2. RSA加密算法的VHDL实实现,通过实际FPGA验证。 -The VHDL implementation of the RSA encryption algorithm to achieve, to verify the actual FPGA.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:9690
    • 提供者:qxd
  1. DES

    1下载:
  2. 一种基于VHDL的DES加密实现方法,经过实际验证可以运行-A VHDL-based DES encryption method, you can run after the actual verification
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:11136
    • 提供者:邱世中
  1. AESbyHGY_128

    0下载:
  2. VHDL描述AES加密系统。加密十次。与完成并可以成功仿真。-VHDL descr iption AES encryption systems. Encryption ten times. And complete and can be successfully simulated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9414112
    • 提供者:胡光跃
  1. aes_pipe

    0下载:
  2. 流水线AES加密VHDL代码,代码规范,值得参考- The VHDL code of Pipelined AES encryption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:12602
    • 提供者:wughui
  1. sm4

    0下载:
  2. VHDL实现国家SM4加密算法(ECB)模式-VHDL to achieve national SM4 encryption algorithm (ECB) mode
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:5469
    • 提供者:xuzhongwei
  1. 4_coded_lock

    0下载:
  2. 本代码实现电子密码锁功能,用的是VHDL语言。可以方便和 可靠实现加密解密的过程。-The code to achieve the electronic password lock function, using the VHDL language. The process can be convenient and reliable implementation of encryption and decryption.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:527240
    • 提供者:张天健
  1. DES-S

    0下载:
  2. des加密算法在MATLAB中,通过VHDL语言的实现-des encryption algorithm in MATLAB, through the realization of VHDL language
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-25
    • 文件大小:225976
    • 提供者:renjinjun
  1. rsa_512_latest.tar

    0下载:
  2. 利用VHDL实现的RSA512位加密算法,-Use VHDL to achieve RSA512 bit encryption algorithm
  3. 所属分类:Other systems

    • 发布日期:2017-04-30
    • 文件大小:239500
    • 提供者:程鹏
  1. EasyFPGA060_Routine_AESEncrypt

    0下载:
  2. VHDL easyfpga060开发板 加密实验(Encryption experimental)
  3. 所属分类:其他

    • 发布日期:2017-12-25
    • 文件大小:833536
    • 提供者:yu我所欲
  1. tb_des_loop

    0下载:
  2. des——top加密vhdl模块,顶层设计接口用于docsis3.0加密(Des - Top encryption VHDL module, top-level design interface for docsis3.0 encryption)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:1024
    • 提供者:pengtao1581
« 1 2 3 4»
搜珍网 www.dssz.com