CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 钟

搜索资源列表

  1. Project-Clock-plus-alarm

    0下载:
  2. 实现超多功能数字钟的vhdl硬件实现,可以实现校时校分闹铃,多模切换,多模同时工作-Ultra-versatile digital clock vhdl hardware implementation can be achieved when the school hours the school alarm, multi-mode switching, multi-mode simultaneously
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:531954
    • 提供者:Ivan Kwan
  1. clock

    0下载:
  2. EDA用maxplus2开发设计的简易数字钟,适合初学者,vhdL语言-EDA maxplus2 in development and design of simple digital clock, is suitable for beginners, vhdL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:392006
    • 提供者:zzz
  1. shuzizhong

    0下载:
  2. 用VHDL实现数字钟的设计,可显示时分秒,并可调-Digital clock with VHDL design, you can display minutes and seconds, and adjustable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1025498
    • 提供者:jiayanqing
  1. xiaoyaundaling

    0下载:
  2. 这是一个利用VHDL语言编写的校园打铃系统,它具有正常数字钟功能,通过按键的操作可以实现时间的切换显示与调整,以及春夏与秋冬两季的打铃时间表的切换控制。-This is a campus using VHDL language ring a bell system, which has normal digital clock function, the operation can be achieved through the key switch time display and adjus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:394841
    • 提供者:
  1. sunday_clock

    1下载:
  2. 数字钟 VHDL 年月日 时分秒 东北大学 EDA vhdl例程 电子设计自动化-VHDL date when the digital clock every minute routine Northeastern University Electronic Design Automation EDA vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1900025
    • 提供者:孙懂
  1. shuzizhong

    0下载:
  2. 基于vhdl的具备闹钟提醒的多功能数字钟设计与应用-The alarm clock to remind vhdl-based multi-functional digital clock design and application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4963
    • 提供者:云景
  1. digital-clock-based-on-FPGA

    0下载:
  2. 基于FPGA的数字钟设计,编程语言是VHDL,编程环境是Quartus-digital clock based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3674231
    • 提供者:lei
  1. digitai-clock

    0下载:
  2. 通过使用VHDL语言编写程序实现了数字钟的功能-Through the use of VHDL language procedures for the realization of the digital clock function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:419075
    • 提供者:张双锋
  1. digital_clock_top

    0下载:
  2. vhdl实现数字钟的开发,并在DE-2板子上实现,可调时、调分-VHDL realize the development of digital clock , the platform is DE-2 board,which can Regulation time and minite
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:100358
    • 提供者:张恒毅
  1. work

    0下载:
  2. 一个实现数字钟的功能的小程序,主要用VHDL来实现-A function of the digital clock applet using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:186090
    • 提供者:阳光
  1. wangyong

    0下载:
  2. 用VHDL实现数字钟编码 ,这里是所有源代码和报告-output a digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:984072
    • 提供者:何慧芳
  1. chengxu

    0下载:
  2. 用VHDL自己写的,关于循环灯和数字钟的试验程序,循环灯是12个灯循环旋转,可以控制速度以及转向。 数字钟可以实现显示时间,闹钟,并且可以对时间闹钟进行时间调整,整点,以及闹钟均可以通过蜂鸣器提醒-Use write VHDL own, testing procedures on the cycle lamp and digital clock, the circular lamp is 12 light cycle rotation, can control the speed and s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:14047
    • 提供者:zhang yue hui
  1. clock1

    0下载:
  2. VHDL语言实现多功能数字钟设计:(1) 计时功能:这是本计时器设计的基本功能,每隔一分钟计时一次,并在显示屏上显示当前时间。 (2) 闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声。 (3) 设置新的计时器时间:用户用数字键‘0’~‘9’输入新的时间,然后按 "TIME"键确认。 (4) 设置新的闹钟时间:用户用数字键“0”~“9”输入新的时间,然后按“ALARM”键确认。过程与(3)类似。 (5) 显示所设置的闹钟时间:在正常计时显示状态下,用户直接
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:346217
    • 提供者:雪圣
  1. EDA1

    0下载:
  2. 用VHDL编程实现序列信号发生器与检测器设计和数字钟设计-VHDL programming sequence signal generator and detector design and the design of the digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:260493
    • 提供者:高华
  1. A

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计及程序 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。-The VHDL language based on CPLD digital clock (including a stopwatch) design and program By usin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:95532
    • 提供者:ruohai
  1. soc-count

    0下载:
  2. soc 的 vhdl语言设计的基于嵌入式 数字钟-soc vhdl language design based on embedded digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:4858
    • 提供者:张博
  1. clock

    0下载:
  2. VHDL编程数字钟,能够实现时间校正,闹钟,整点报时,显示日期,倒计时等功能。-The VHDL programming digital clock, time correction, alarm, hourly chime, such as date, countdown function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:409447
    • 提供者:王斌斌
  1. Digital-clock-design

    0下载:
  2. 用VHDL语言设计数字钟.实现以下功能:正常走表,时间设置,闹钟设置,整点报时,闹钟提醒。-Digital clock using VHDL language . Achieve the following functions: normal walking table, time settings, alarm settings, the whole point timekeeping, alarm.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9059
    • 提供者:xiaoyu
  1. cnt60

    0下载:
  2. vhdl数字钟,有校时校分整点报时的基本功能-vhdl digital clock school, the school divided the whole point timekeeping function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:261709
    • 提供者:ylh
  1. ep1c12_15_clock

    0下载:
  2. 数字钟设计:该程序完成了在Quartus Ⅱ上使用VHDL语言实现的24小时数字钟设计-Digital clock design: the process is complete Quartus Ⅱ a digital clock using VHDL language design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:72859
    • 提供者:无敌县令
« 1 2 ... 5 6 7 8 9 1011 12 »
搜珍网 www.dssz.com