CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 钟

搜索资源列表

  1. clock

    0下载:
  2. 数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。由于数字集成电路的发展和石英晶体震荡器的使用,使得数字钟的精度、稳定度远远超过了机械钟表,已成为人们日常生活中必不可少的必需品。-Digital Clock is a digital circuit implementation, " when" , " sub" , " second" The figures show that the timing device. Digita
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:339081
    • 提供者:庄青青
  1. shizhong

    0下载:
  2. 数字钟的VHDL源程序,可以实现校时,校分等功能,并在试验箱上运行成功-The VHDL source code digital clock, you can achieve at school, school grade features, and success in the chamber is running on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:310103
    • 提供者:zhaozheng
  1. EDAtest

    0下载:
  2. 关于数字钟的实现,用VHDL实现时,分,秒,的显示,并能报时-Digital clock on the realization of VHDL to achieve with hour, minute, seconds display, and time
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:170660
    • 提供者:dulianjie1
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. EDA

    1下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:461667
    • 提供者:王宇
  1. clock

    0下载:
  2. 用VHDL实现的完整数字钟代码,时分秒计时、校时、整点仿电台报时。-Used to achieve a complete VHDL code digital clock, accurate time at school, the whole point of imitation time radio.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:294888
    • 提供者:bsyy
  1. shuzizhong

    0下载:
  2. 基于vhdl的数字钟完整工程文件,已在实验箱上实现-vhdl clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:16242
    • 提供者:浅漓
  1. elec_lock

    0下载:
  2. 电子钟源文件,显示时分秒星期,按相应按键,可以对时分星期加1调整时间-钟源electronic documents to show the weeks when the minutes and seconds, press the corresponding button, you can adjust the hours of time plus 1 week
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:482415
    • 提供者:蔡宇佳
  1. deCPLDVHDLshijong

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 -CPLD based on the VHDL language di
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:95274
    • 提供者:wuhuisong
  1. digital_clock_design

    0下载:
  2. 利用VHDL语言,逻辑器件设计CPLD,实现数字钟-Using VHDL language, design of logic devices CPLD, digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:634998
    • 提供者:fei
  1. SHUZIZHONGVHDL

    0下载:
  2. 多功能数字钟的VHDL编程实现,有与其他数字钟不同的秒表,闹钟等更多功能-Multi-function digital clock of VHDL programming, digital clock with other different stopwatch, alarm clock function, such as more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:29289
    • 提供者:赵彪
  1. VHDLbasicExampleDEVELOPEMENTsoursE

    1下载:
  2. 这里收录的是《VHDL基础及经典实例开发》一书中12个大型实例的源程序。为方便读者使用,介绍如下: Chapter3:schematic和vhdl文件夹,分别是数字钟设计的原理图文件和VHDL程序; Chapter4:multiplier文件夹,串并乘法器设计程序(提示:先编译程序包); Chapter5:sci文件夹,串行通信接口设计程序; Chapter6:watchdog文件夹,看门狗设计程序; Chapter7:taxi文件夹,出租车计价器设计程序; Chapte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:138782
    • 提供者:wuyu
  1. shuzizhong

    0下载:
  2. 这时用VHDL语言编写的多功能数字钟,具有正常的计时功能,还能进行校时、校分,并且具有整点报时功能-Then with the VHDL language multi-functional digital clock, with the normal timing functions, but also to the school, the school hours, and have the whole point timekeeping function of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:451856
    • 提供者:小黄
  1. VHDL_for_clock

    0下载:
  2. 基于VHDL语言的数字钟设计,附有完整的程序代码,并有仿真结果。-VHDL-based digital clock design, with a complete code, and have the simulation results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:50700
    • 提供者:zpqmal
  1. DZZ1

    0下载:
  2. 多功能数字钟 能进行正常的时、分、秒计时功能, 分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。   2. 能利用实验系统上的按键实现“校时”“校分”功能: 3. 能利用扬声器做整点报时-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:1024085
    • 提供者:chenshilin
  1. e7v4

    0下载:
  2. 数字钟:显示,设置时间,设置闹铃(报时),秒表。 平台:quartusII 5.1。 说明:此版本中已将系统时钟调快,自己稍微改动一下即可,小小的考验,做出来会更有成就感!-digital clock:display time, set time, set alarm(use speaker to alarm), stopwatch. platform: quartusII 5.1 comment: there s a place to change if you want th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:2020596
    • 提供者:kn
  1. SZZ

    0下载:
  2. 这是一个数字钟的VHDL语言,具有调时、调秒、调分功能,同时,还具有十二小时制向二十四小时制切换功能-This is a digital clock in VHDL language, with a tune, the tone seconds and sub-transfer function, while the system also has 12 hours to 24 hours the system switching function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:852262
    • 提供者:蔡斌
  1. vhd_design

    0下载:
  2. 我学习VHDL的课程设计,是多功能数字钟,有闹钟,秒表等功能,多指教-I learned VHDL course design is multi-functional digital clock, there is an alarm clock, stopwatch functions, multi-Zhi Jiao
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:348691
    • 提供者:GUO-xc
  1. shuzizhongsheji

    0下载:
  2. s1. 所设计数字钟具有“时”、“分”、“秒”的十进制数字显示(小时从00~23)。 2. 可以进行手动校时、校分功能。 3. 能进行整点报时。从59分51秒开始每隔2秒钟连续发出四次低音“嘟。嘟、嘟、嘟”,,最后一次发出高音“嗒”。此信号响起时即达整点。 -you can see see
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:160806
    • 提供者:江畔
  1. clock

    1下载:
  2. 采用Verilog HDL语言编写的多功能数字钟,包括四个功能:时间显示与设置、秒表、闹钟、日期显示与设置.-Using Verilog HDL language multi-functional digital clock, including the four functions: time display and settings, stopwatch, alarm clock, date display and settings.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-14
    • 文件大小:3100375
    • 提供者:陈涵
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com