CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 钟

搜索资源列表

  1. electroclock

    1下载:
  2. VHDL的数字钟,内含各个模块的源程序,可直接运行
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:83088
    • 提供者:玉峰
  1. clock

    0下载:
  2. 数字钟的VHDL源程序,可实现整点报时、闹钟的功能,还有常有星期的显示,已调试过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1339376
    • 提供者:玉峰
  1. train

    0下载:
  2. 用 VHDL语言实现闹钟功能,可用于数字钟设计的单元电路,显示电路程序。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1396
    • 提供者:李林
  1. CLOCK

    0下载:
  2. 可以调整时间和设置闹钟的数字钟(VHDL)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:906237
    • 提供者:iyoung
  1. clock

    1下载:
  2. 数字钟的程序,功能说明如下所示: 1.完成秒/分/时的依次显示并正确计数; 2.秒/分/时各段个位满10正确进位,秒/分能做到满60向前进位; 3.定时闹钟:实现整点报时,通过语音设备来实现具体的报时; 4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整 5.可以选择使用12进制计时或者24进制计时。 使用QuartusII6.0编译仿真通过,语言使用的是VHDL,可以方便的移植到其他的平台上面。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:233023
    • 提供者:余宾客
  1. clockVHDL

    0下载:
  2. 利用VHDL语言设计的数字钟,能进行正常的时、分、秒计时功能,分别由6个数码管显示24h、60min、60s
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:146484
    • 提供者:可爱
  1. topclock

    1下载:
  2. VHDL写的数字钟,功能很全
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:351077
    • 提供者:allen
  1. digitalclock

    1下载:
  2. 这是一个数字钟的VHDL实现.采用八段数码管显示! --可调闹铃,可校时。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5829
    • 提供者:李弋鹏
  1. shuzizhong

    0下载:
  2. 数字钟代码,用VHDL语言设计一个数字钟系统,该系统具有显示时、分、秒的功能,具有较时功能,具有整点报时功能。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1060
    • 提供者:SDFG
  1. shu_zi_zhong

    0下载:
  2. 这个程序主要介绍了数字钟用VHDL的写法,希望对大家有用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3655
    • 提供者:薛永华
  1. txxclock

    1下载:
  2. VHDL编写的数字钟,在Q-ii下编译,实现闹铃设置与定时闹铃,分时秒显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:308870
    • 提供者:汤向行
  1. shuzizhongvhdl

    0下载:
  2. 原创,基于VHDL的数字钟代码(各功能模块请自己完成)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4851
    • 提供者:q
  1. clock_1

    0下载:
  2. 简易数字钟,使用VHDL语言编辑,简单设计,容易学习用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:472435
    • 提供者:zzy
  1. clock.rar

    0下载:
  2. 具有流水灯报点的数字钟实验 含有报告,用VHDL编写,Water at point of light with the number of minutes containing the report of the experiment, prepared by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:49959
    • 提供者:alan
  1. Counter60min

    0下载:
  2. VHDL语言编写的一个六十进制计数器(用于分钟),一个脉冲输入引脚,一个复位引脚,8个BCD码输出引脚,一个进位输出引脚。与我的其它8个模块配套构成一个数字钟。-A 60 binary counter(for minute) programmed with VHDL language.A pulse input, a reset input, eight BCD code output BCD code, a carry bit output. It is one of my total 9 m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:207690
    • 提供者:chzhsen
  1. shizihong

    0下载:
  2. 用VHDL语言编写数字钟的程序,实现数字钟的几个功能,如计时、校时、闹钟和整点报时-Digital clock using VHDL language programs, digital clock several functions, such as timing, timing, alarm and hourly chime
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2046
    • 提供者:小西
  1. EDACLOCK

    0下载:
  2. 用VHDL语言编写数字钟的程序,实现数字钟的完整功能,如计时、校时、闹钟和整点报时-Digital clock using VHDL language programs, digital clock several functions, such as timing, timing, alarm and hourly chime
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:394969
    • 提供者:小西
  1. eda-chengxu

    0下载:
  2. VHDL语言源程序,使用元件例化的方法设计简易数字钟-VHDL language source code, the use of components instantiated designed simple digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1046
    • 提供者:gaoxue
  1. clock

    0下载:
  2. 完成数字钟表的功能,可以实现整点报时,闹钟和设置时间-The completion of the functions of digital watches, you can bring the whole point timekeeping, alarm clock and set-up times
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1303
    • 提供者:姜楠
  1. shuzizhong

    0下载:
  2. 大学VHDL实验数字钟源码,有的专业数字电路实验设计也有要求做的。-University of VHDL experimental digital clock source, and some professional digital circuit design has also requested to do so.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:515
    • 提供者:史善爽
« 1 2 3 4 5 67 8 9 10 11 12 »
搜珍网 www.dssz.com