CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL LCD

搜索资源列表

  1. lab5_u07_keypad_lcd_intr

    0下载:
  2. To understand how a keypad functions a raster scan input device and to learn how to interface a keypad to a microprocessor. • To understand how to control an LCD panel and to interface it to a microprocessor. (Normally, an LCD can be u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:40530
    • 提供者:shay
  1. Controlador_LCD

    0下载:
  2. this code is made for initialization of a lcd 2X16. it works with 4 bits, and has a development of a cgram dor a special character.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:139765
    • 提供者:marlof04
  1. LCD_SCREEN

    0下载:
  2. 利用了状态机的53种状态太分别描述LCD显示频的初始化、显示字符串“OK!”的时序图中的详细过程-Use of 53 states of state machine LCD display is too describe the frequency initialized, the string " OK!" The timing diagram of the detailed process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2349
    • 提供者:wulei
  1. FPGA

    0下载:
  2. 主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。-Introduces the VHDL, the electronic clock, LCD, LED, keyboard, elevator and other development programs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13878565
    • 提供者:huizeng
  1. Encryption

    0下载:
  2. AES implementation in VHDL!! Wit LCD controls-AES implementation in VHDL!! Wit LCD controls!!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:17909
    • 提供者:manishrb
  1. decryption

    0下载:
  2. AES decryption in VHDL!! Wit LCD controls
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:11913
    • 提供者:manishrb
  1. PS2_jianpanshibie_FPGA

    0下载:
  2. 实现了PS2接口的主键盘和小键盘的识别,采用第二套键盘译码表,如果你想使用第一套或者第二套键盘译码,只需做少量的修改就可以实现。只要稍加修改就可以实现你所希望的功能,此程序只实现了LCD灯的控制。-PS2 interface implements the main keyboard and keypad recognition, using the second set of keyboard decoding table, if you want to use the first set or
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:519571
    • 提供者:
  1. lcd

    0下载:
  2. SPARTAN 3E 开发板驱动程序 Verilog源码 对于数字电路设计是很好的参考资料-SPARTAN 3E development board driver for digital circuit design, Verilog source code is a good reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2429
    • 提供者:wang
  1. Lab3

    0下载:
  2. Display text to the LCD of a DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:190814
    • 提供者:Mitchell
  1. VHDL_1602-LCD

    0下载:
  2. 使用VHDL语言,以纯逻辑的方式驱动1602LCD显示屏显示指定字符.通过quartusII软件进行开发。 -VHDL for 1602 LCD display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:64959
    • 提供者:邹云海
  1. cpld-vhdl-LCD1286

    0下载:
  2. 此源码为cpld 驱动LCD12663 液晶显示器的源程序-This source is cpld LCD source driver LCD12663
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-27
    • 文件大小:39708
    • 提供者:刘丽超
  1. VGA-LCD

    0下载:
  2. 采用VHDL编写的VGA LCD显示。经过了调试仿真,在FPGA芯片上下载成功,并得到了预期效果-Written by VHDL VGA LCD display. After a debugging emulator, FPGA chip in the download is successful, and get the desired effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:659620
    • 提供者:lidejjj
  1. LCD_CLOCK

    1下载:
  2. 用1602液晶显示的数字电子钟,并且可以用按键开关调整时间,日期,星期。-1602 LCD display with digital electronic clock, and the key switch can be used to adjust the time, date, week.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3165109
    • 提供者:周航
  1. lcd_at_nios_nii_part

    0下载:
  2. 彩屏液晶在FPGA使用,硬件描述语言写的,速度快-Color LCD used in the FPGA, hardware descr iption language writing speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:14175
    • 提供者:业永坤
  1. LCD

    0下载:
  2. EP2C8Q208_Quartus_V8.0 基于FPGA实现LCD VHDL代码-EP2C8Q208_Quartus_V8.0 FPGA-based implementation LCD VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:474464
    • 提供者:zkzkzk
  1. VGA-LCD

    0下载:
  2. 用Altera Quartus II 的VHDL语言来完成LCD的液晶显示汉子功能-The use of Altera Quartus II VHDL language to complete the function of LCD liquid crystal display man
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:645173
    • 提供者:nanana
  1. 1602A-VHDL

    0下载:
  2. --利用FPGA驱动LCD显示中文字符"年"的VHDL程序。 --文件名 :lcd1602.vhd。 --功能 : FGAD驱动LCD显示中文字符"年"。-- Using FPGA-driven LCD display Chinese characters " year" VHDL program.- File Name: lcd1602.vhd.- Function: FGAD drive LCD display Chinese characters " ye
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1243
    • 提供者:王达
  1. ps2-keyboard

    0下载:
  2. PS2 KEYBIARD interfacing with lcd 2X16-PS2 KEYBIARD interfacing with lcd 2X16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1689478
    • 提供者:mahdi
  1. LCD

    0下载:
  2. 实现vhdl语言中的一系列功能和方式方法。具体的功能是lcd实现的编译和仿真。-it can do d function as lcd.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:233038
    • 提供者:路超
  1. lcd

    0下载:
  2. VHDL 写的如何操作LCD,代码很详细的,-VHDL for LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2649
    • 提供者:123456
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 22 »
搜珍网 www.dssz.com