CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL LCD

搜索资源列表

  1. camera_up

    0下载:
  2. Camera Interface模块是视频输入转换存储模块。该模块一端接通用的video camera设备,另一端接AHB总线。实现了将Camera捕捉到的数据进行转换、并通过DMA存储到memory中。该IP支持ITU-R BT 601/656 8-bit 模式。支持YCbCr, RGB格式输入。可以将camera产生的YCbCr信号转换成24bit RGB 信号,然后下采样生成16bit RGB 5:6:5的LCD能直接读取显示的数据。该设备支持图像的镜像和翻转,以便适应手持式设备在移动环境
  3. 所属分类:Video Capture

    • 发布日期:2017-03-24
    • 文件大小:33011
    • 提供者:孙喆
  1. 23

    0下载:
  2. 基于FPGA的液晶显示控制器的设计,FPGA用的是EP2C5,LCD用的是ST7920内核的122*32点阵的LCD,显示中西文字符-FPGA-based LCD display controller design, FPGA is used EP2C5, LCD is used in the ST7920 core of 122* 32 dot matrix LCD, display of Chinese and Western characters
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2321364
    • 提供者:龙霄
  1. zlg_avalon_lcd128_64

    0下载:
  2. 基于avalon的12864液晶模块ip核-The 12864-based LCD module avalon nuclear ip
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:238808
    • 提供者:Mao
  1. DE2_70_TV

    0下载:
  2. --- --- --- -Verilog--- --- ---- This design converts DVD video into a format suitable for display on a CRT/LCD monitor. A DVD video source, such as a DVD player, should be connected to the VIDEO IN port on the DE2-70 board. A CRT/LCD monitor shoul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:168888
    • 提供者:Sami
  1. lcd_test

    0下载:
  2. 基于FPGA的LCD1602a显示.在液晶屏上显示英文字符“My rongrong”-FPGA-based display LCD1602a. In LCD display English characters "My rongrong"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:479766
    • 提供者:杨译名
  1. ch5

    0下载:
  2. 如何以DSP讀取鍵盤輸入 ASC2碼且將輸入的值是在LCD上-How to read keyboard input in DSP code ASC2 and the value of the input on the LCD
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:843870
    • 提供者:123
  1. lcd

    0下载:
  2. 基于fpga的lcd显示模块vhdl描述,只需修改相关参数即可使用-Fpga based on the lcd display module vhdl descr iption, simply modify the relevant parameters to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1159
    • 提供者:郭帅
  1. VGA_MAO1_C5T

    0下载:
  2. 带中文字库的字符型液晶驱动演示 在“字符型液晶口”插上带中文字库的字符型液晶,按复位键K1后,再按键“K5”,则液晶显示中文 -Chinese font with character-driven LCD presentation in the " Character LCD I" planted with Chinese font character LCD, press reset key K1, and then button " K5" , wh
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:71415
    • 提供者:邢旭
  1. FPGALcd1602

    0下载:
  2. lcd1602 fpga 驱动 液晶1602的FPGA 驱动,VHDL编写-lcd 1602 fpga driver
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:3216
    • 提供者:zrc
  1. lcd_driver

    0下载:
  2. 用FPGA控制12864液晶输出时钟信息 很好 可以根据自己的需要更改 -12864 LCD control with FPGA clock output information can be very good according to their need to change the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:406869
    • 提供者:刘军鹏
  1. Lcd

    0下载:
  2. VHDL资料 很不错的-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2192
    • 提供者:Swift
  1. LCD_Top

    0下载:
  2. FPGA 的verilog LCD显示代码-FPGA code in verilog LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2758
    • 提供者:xcxscf
  1. LCD128FPGA

    0下载:
  2. 用FPGA来控制SMG12864液晶,用并口来控制.FPGA采用EPC144cycloneII,采用ST7920控制器的LCD128*64.-Using FPGA to control SMG12864 LCD with parallel port to control. FPGA using EPC144cycloneII, using the ST7920 controller LCD128* 64.
  3. 所属分类:Other systems

    • 发布日期:2017-05-18
    • 文件大小:4876037
    • 提供者:李恺君
  1. 9927416lcd1602

    0下载:
  2. 非常常见的液晶lcd1602控制程序,vhdl代码,可用于FPGA开发使用-Very common LCD lcd1602 control procedures, vhdl code, can be used to develop the use of FPGA
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2957
    • 提供者:zhang
  1. 1602LCD

    0下载:
  2. 1602lcd 显示程序,用Verilog语言编写,经测试程序运行没有问题!-1602lcd display program, with the Verilog language, tested program is running there is no problem!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:70361
    • 提供者:韩瑞
  1. Mars-EP1C6-F_code2

    0下载:
  2. 此包为FPGA学习板接口实验程序源代码,共包括13个实验程序,有7段数码管,1602液晶显示,12864液晶显示,I2C总线,串口通信,拨码开关等.-The packet interface to FPGA board experimental procedure to study the source code, a total of 13 experimental procedure, there are 7-segment digital tube, 1602 LCD 12864 LCD,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4633951
    • 提供者:sunxh092
  1. lcd_1602

    0下载:
  2. LCD1602显示 在LCD显示屏上显示特定的一个字符串-lcd1602 display
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:2460
    • 提供者:赵云
  1. FRE

    0下载:
  2. 用1602显示的等精度频率计,有多种功能的;可能测试占空比和周期的-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4766702
    • 提供者:dasfsaf
  1. xianshi_lcd_0

    0下载:
  2. 实现了lcd1602显示的功能,可以在lcd上显示“年”字,有利于初学者学习lcd在fpga上显示,采用文本编辑的,利用quartus ii 702-Achieved lcd1602 display function, you can lcd display " " The word will help beginners learn lcd display in the fpga, using a text editor, using quartus ii 702
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:191245
    • 提供者:ad
  1. DM10_KX8051_LCD128X64_C5T

    0下载:
  2. FPGA中嵌入8051的核 并且实现控制128*64的液晶显示-FPGA embedded in 8051 and to achieve control of the nuclear 128* 64 LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:440125
    • 提供者:万海
« 1 2 3 4 5 6 78 9 10 11 12 ... 22 »
搜珍网 www.dssz.com