CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL UART

搜索资源列表

  1. uart_serial_vhdl

    0下载:
  2. fpga例程:用实fpga现uart串口通讯的vhdl详细代码,附一个串口通讯助手小插件-fpga routines: solid fpga vhdl now uart serial communication code in detail, with a small plug-in serial communications assistant
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:961578
    • 提供者:刘畅
  1. uart16750_latest.tar

    0下载:
  2. uart 控制器 verilog / vhdl 源代码-uart control verilog /vhdl source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:137329
    • 提供者:陈成
  1. uart_my

    0下载:
  2. vhdl语言实现UART的接收,发送,已成功应用-uart receiver and transmitter descr ipted in VHDL language,which has been used successfully.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:9984
    • 提供者:lynn
  1. uart_tx

    0下载:
  2. It is an UART interface that is written by me in VHDL to receive and send datas from/to FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:507843
    • 提供者:Kaan Mutlu
  1. UART_FIFO

    0下载:
  2. 用VHDL语言实现内置FIFO的UART,并做时序仿真和功能仿真确定正确与否。-Implement a built in FIFO UART using VHDL language, and do functional simulation and timing simulation to determine correct.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2076669
    • 提供者:zk
  1. uart2bus_latest.tar

    0下载:
  2. 这是一个用Verilog HDL和VHDL设计的UART控制器的IP核,里面有详细的源代码-This is a Verilog HDL and VHDL design UART controller IP core, which has detailed source code
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:247850
    • 提供者:张杰
  1. a_vhd_16550_uart_latest.tar

    0下载:
  2. 实现通用16550的uart的功能模块,使用vhdl编程语言。-16550 uart achieve universal functional modules using vhdl programming language.
  3. 所属分类:Com Port

    • 发布日期:2017-04-05
    • 文件大小:119911
    • 提供者:刘升鹏
  1. you_ran

    0下载:
  2. 串行UART接收,采用VHDL语言,供参考-Universal Asynchronous Receiver/Transmitter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:36498
    • 提供者:li bo
  1. project5_UART

    0下载:
  2. It is UART protocol in VHDL. it has two files. one is transmitter and one is receiver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2062
    • 提供者:Arash
  1. M130095EC

    0下载:
  2. vhdl code for uart. data tx from pc to fpga nd vice versa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2288402
    • 提供者:gaurav goyal
  1. UART_VHDL

    0下载:
  2. VHDL 实现 UART 全双工通讯,可以独立使能接收和发送,具有发送和接收完成标志位。-VHDL implementation of UART full duplex communication, can independently make can receive and transmit, with sending and receiving complete flag.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4439
    • 提供者:zkw
  1. manchesteruart_latest.tar

    0下载:
  2. Manchester编码转uart的vhdl 代码-Manchester to uart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5649
    • 提供者:Ljm
  1. 1.-Uart_Suceed

    0下载:
  2. 4 Channel Uart Source Code using VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-05-28
    • 文件大小:11248041
    • 提供者:some43
  1. a_vhd_16550_uart_latest.tar

    0下载:
  2. uart descr iption vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:119912
    • 提供者:hamdi
  1. uartfifo

    0下载:
  2. FPGA简单应用,VHDL程序,串口UART驱动程序,供学习参考。-FPGA and simple application, VHDL program, UART serial port driver, provide the reference for the study.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:633436
    • 提供者:浮云之上
  1. basic_uart

    0下载:
  2. uart vhdl code with test bench working fine njoy maadi
  3. 所属分类:USB develop

    • 发布日期:2017-04-01
    • 文件大小:3252
    • 提供者:ashok
  1. URAT-

    0下载:
  2. 异步串行通信接口UART的VHDL程序实现-Asynchronous serial communication interface UART VHDL program realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:32331
    • 提供者:zj
  1. UART_TXD

    0下载:
  2. uart标准协议fpga代码,基于vhdl语言,实现uart协议发送功能-uart standard protocol fpga code, based on vhdl language, to achieve uart protocol transmission function
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3503
    • 提供者:宋晨
  1. UART_TOP

    0下载:
  2. uart顶层文件代码,基于fpga实现,vhdl语言-uart top-level file code, based fpga realize, vhdl language
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3278
    • 提供者:宋晨
  1. UART_RXD

    0下载:
  2. uart标准协议接受代码,基于fpga,vhdl语言-uart standard protocol accepted code, based on fpga, vhdl language
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:4401
    • 提供者:宋晨
« 1 2 ... 16 17 18 19 20 2122 »
搜珍网 www.dssz.com