CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - bcd

搜索资源列表

  1. Decimal-ASCII-code-to-BCD

    0下载:
  2. 通过汇编语言实现十进制ASCII码转成BCD码-Through the decimal ASCII code assembly language into BCD code
  3. 所属分类:assembly language

    • 发布日期:2017-11-07
    • 文件大小:726
    • 提供者:Han Xiao
  1. BCD-ENCODER

    0下载:
  2. VHDL program for “Decimal To BCD Encoder” behavioral design in Xilinx integrated software environment
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:815
    • 提供者:rajapraba
  1. bcd

    0下载:
  2. change number int BCD
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:4064
    • 提供者:jack.wahba
  1. BCD

    0下载:
  2. 4位BCD码到二进制码的转换器 BCD 码亦称“8421码”即将十进制的数以 8421 的形式展开,十进制是 0~9十个数组成,这十个数每个数都有自己的 8421 码。一个 4 位BCD码转换为BCD 码,结果采用 5 位 LED 显示。由于4位BCD码需要16位零一信号输入和多位输出,故没有硬件测试,只有软件仿真。-4 BCD code to binary code converter BCD code known as " 8421 yards" is about th
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-27
    • 文件大小:685
    • 提供者:fdf
  1. 1.-VHDL-Code-For-BCD-To-Decimal-Decoder-By-Data-F

    0下载:
  2. 1. VHDL Code For BCD To Decimal Decoder By Data Flow Modelling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:45138
    • 提供者:rik
  1. VHDL-Code-For-BCD-To-Excess3--Code-Converter-By-D

    0下载:
  2. VHDL Code For BCD To Excess3 Code Converter By Data Flow Modelling-VHDL Code For BCD To Excess3 Code Converter By Data Flow Modelling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:38522
    • 提供者:rik
  1. bcd

    0下载:
  2. 这是一个在vhdl中BCD的编程代码 为了可以让它更直观的表现出来 我们最后用7seg的方式 让其表示出来 把结果更加直观的呈现-This is a BCD in vhdl programming code in order to be able to make it more intuitive performance out of our way to let it finally 7seg represented more intuitive presentation of the res
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5178
    • 提供者:zhangzicong
  1. bcd

    0下载:
  2. 十进制转bcd三种算法 单片机设计的可以看看 作为参看使用-Decimal switch bcd three kinds of algorithms designed to take a look at as a microcontroller refer to the use of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:3151
    • 提供者:centyear
  1. xs3-bcd

    0下载:
  2. VHDL CODE FOR XS-3 TO BCD CONVERTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:988154
    • 提供者:pinky
  1. BCD

    0下载:
  2. 十进制和BCD码以及二进制之间的相互转换,多种算法实现多种功能-Conversion between decimal and binary to BCD and between, a variety of algorithms to achieve a variety of functions
  3. 所属分类:VxWorks

    • 发布日期:2017-04-05
    • 文件大小:3332
    • 提供者:王浆
  1. BCD

    0下载:
  2. BCD技术,来译码器,实现一定的功能,完成一定的任务-Achieve Math
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:2838
    • 提供者:韩金秋
  1. bcd

    0下载:
  2. 十进制转bcd三种算法 单片机设计的可以看看 作为参看使用-Decimal switch bcd three kinds of algorithms designed to take a look at as a microcontroller refer to the use of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:3191
    • 提供者:因上层
  1. BCD

    0下载:
  2. finding BCD of two numbers
  3. 所属分类:Algorithm

    • 发布日期:2017-04-13
    • 文件大小:1572
    • 提供者:ravi
  1. bcd.c

    0下载:
  2. a code that converts decimal to bcd-a code that converts decimal to bcd
  3. 所属分类:Console

    • 发布日期:2017-04-12
    • 文件大小:1313
    • 提供者:mizou
  1. BCD-CODE

    0下载:
  2. 基于FPGA的二进制转BCD码程序,非常适合初级菜鸟学习使用入门程序,欢迎大家下载学习-FPGA binary code to BCD based procedures, very suitable for learning to use primary rookie entry procedures, are welcome to download the learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:43922
    • 提供者:zhang yang
  1. 10-BCD

    0下载:
  2. BCD译码数码管显示数字,能用bcd的方式显示数字。-BCD decoding digital display numbers, can bcd way to display numbers.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:39583
    • 提供者:阿木
  1. binary-to-bcd

    0下载:
  2. binary to bcd conversion using assembly language
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1766
    • 提供者:rokib
  1. bcd-7seg

    0下载:
  2. bcd to 7segnments decoder in proteus
  3. 所属分类:LabView

    • 发布日期:2017-04-13
    • 文件大小:1758
    • 提供者:alisoltani
  1. Binary-BCD-code

    0下载:
  2. 用Verilog语言写的二进制转BCD码,可以作为课堂教学实验或者课后作业,有完整工程代码-Written in Verilog language transfer binary BCD code, can be used as a teaching experiment or the homework, a complete project code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:184243
    • 提供者:ww
  1. BCD-Conversion-Functions

    0下载:
  2. BCD_I子函数:将输入的BCD(拨码开关)值转换成整数。 I_BCD子函数:将输入的整数值转换成BCD码的形式输出出去。备注:用keil编写的-BCD_I Functions: input BCD (DIP switch) value is converted to an integer. I_BCD Functions: The integer value entered into a form of BCD code output
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:21119
    • 提供者:山河
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 46 »
搜珍网 www.dssz.com