CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - cordic vhdl

搜索资源列表

  1. cordic_vhdl1

    1下载:
  2. 利用cordic实现直角坐标与极坐标的转换,用vhdl实现-use cordic achieve very Cartesian coordinates with the conversion, with vhdl achieve
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6077
    • 提供者:张力
  1. cordic_vhdl2

    0下载:
  2. 利用cordic实现三角函数的计算,用vhdl实现-use cordic achieve trigonometry calculations, using achieve vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3570
    • 提供者:张力
  1. cordic2

    0下载:
  2. cordic算法的vhdl实现,是用来实现极坐标同直角坐标之间变换。-cordic algorithm vhdl realized, is used to achieve a very Cartesian coordinates with the transformation between.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:117717
    • 提供者:wangyd
  1. CORDIC_SinCos_VHDL

    0下载:
  2. 用 VHDL 语言写的 Cordic模块,来计算正、余弦函数,包括仿真激励和仿真截图。-use Cordic to compute sine and cosine fuction in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2174253
    • 提供者:孙佳宇
  1. Digital-Signal-Processing-with-FPGA

    0下载:
  2. FPGA结合DSP设计,如FIR、IIR滤波器,CORDIC算法,多重采样率信号处理,FFT,有对应的VHDL/Verilog 代码code-FPGA Combines with DSP, FIR 、IIR Digital Filters,CORDIC,FFT,Adaptive Filters,VHDL/Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10501611
    • 提供者:rickdecent
  1. Cordic

    0下载:
  2. Cordic algorithm in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:814
    • 提供者:Spizero
  1. Cordic

    0下载:
  2. VHDL CODE FOR CORDIC ALGORITHM FOR COS AND SINE GENERATION
  3. 所属分类:DSP program

    • 发布日期:2017-11-18
    • 文件大小:161702
    • 提供者:sharada
  1. cordic

    1下载:
  2. 实现cordic算法的VHDL程序,可通用-Cordic algorithm
  3. 所属分类:Other systems

    • 发布日期:2017-12-03
    • 文件大小:5003
    • 提供者:wd
  1. cordic

    0下载:
  2. 基于VHDL语言编写,可下载到FPGA板子上实现的cordic算法实现的设计,并用该算法实现sin和cos的计算,计算结果显示在数码显示管上,已包含按键防抖动功能的实现。-Based on VHDL language, can be downloaded to the the cordic algorithm implemented in the FPGA board to achieve the design and calculation of sin and cos using this
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-11
    • 文件大小:5120
    • 提供者:momo
  1. cordic

    0下载:
  2. VHDL实现cordic算法,精确度非常高,模块化设计,带显示功能-VHDL implementation cordic algorithm, a very high accuracy, modular design, with display function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:4403
    • 提供者:hongkun
  1. ln

    0下载:
  2. vhdl写的自然对数计算,通过cordic迭代出的结果。提高精度需要增加迭代次数。-write vhdl natural logarithm, cordic iterate through the results. Improve the accuracy of the need to increase the number of iterations.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1456
    • 提供者:叶韬
  1. cordic

    1下载:
  2. 基于VHDL语言的CORDIC算法实现,用于计算sin(x),cos(x)等,实测可用-Based on VHDL CORDIC algorithm, used to calculate sin (x), cos (x), etc., the measured available
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-11
    • 文件大小:3751936
    • 提供者:刘淇
  1. CORDIC-Data

    0下载:
  2. CORDIC的matlab代码和VHDL代码,大牛分享的,自己试用过,非常好-realize CORDIC algorithm through Matlab and VHDL
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:126589
    • 提供者:john
  1. cordic

    0下载:
  2. cordic processor design in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:135886
    • 提供者:thangapandiyan
  1. CORDIC-example-code

    0下载:
  2. CORDIC Actel example VHDL code
  3. 所属分类:Algorithm

    • 发布日期:2017-05-06
    • 文件大小:1373154
    • 提供者:homan
  1. cordicmaster

    0下载:
  2. cordic code algorithm using vhdl
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-03-24
    • 文件大小:34928
    • 提供者:nikunj4013
  1. cordic_latest.tar

    0下载:
  2. CORDIC vhdl design, include sin, cos calculation.
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:234657
    • 提供者:eric
  1. cordic

    0下载:
  2. 通过VHDL实现 cordic算法,使用的单片机为basys2-By CORDIC to achieve VHDL algorithm, the use of the microcontroller is basys2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:680117
    • 提供者:litianchu
  1. CORDIC_CODES_NEW

    0下载:
  2. Cordic VHDL codes full working-Cordic VHDL codes full working..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2926
    • 提供者:guruprasad sp
  1. cordic

    0下载:
  2. 改进的cordic算法的vhdl程序,采样资源换时间的办法用最少的旋转级数获得最大的计算精度-mvr-cordic algorithm vhdl program
  3. 所属分类:Other systems

    • 发布日期:2017-12-16
    • 文件大小:34209792
    • 提供者:suyu
« 1 2 3 45 »
搜珍网 www.dssz.com