CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - des vhdl

搜索资源列表

  1. rom_des.zip

    0下载:
  2. DES 加密算法的VHDL和VERILOG 源程序及其TESTBENCH。
  3. 所属分类:加密解密

    • 发布日期:
    • 文件大小:30494
    • 提供者:
  1. 3des_vhdl

    0下载:
  2. 3重DES(3DES)加密算法的问答及其VHDL实现。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:140190
    • 提供者:张开文
  1. mos_des

    0下载:
  2. 这是一个用VHDL语言实现了DES加密功能的程序,由于DES加密的模式,解密时需把密要倒置
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:27707
    • 提供者:liyajun
  1. des3

    0下载:
  2. The best 3des code. The best area
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-09
    • 文件大小:1799
    • 提供者:Guilherme
  1. aes_thesis_v1.0

    0下载:
  2. AES VERILOG CODE 128 192 32DES比較-AES VERILOG CODE 128 192 32DES Comparison
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-23
    • 文件大小:385602
    • 提供者:蕭嵎之
  1. topic

    0下载:
  2. DES加密算法的VHDL和VERILOG源程序- Xilinx开源共享61EDA代码工厂-DES encryption algorithm of VHDL and VERILOG source code- Xilinx factory open source code sharing 61EDA
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:274592
    • 提供者:renkaiqiang
  1. key

    0下载:
  2. 用vhdl语言实现des编码中的密钥产生 是des编码中重要的一部分-Des code using vhdl language in the key generation is an important part des coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1522
    • 提供者:guosai
  1. 3des_vhdl_latest

    0下载:
  2. 3DES的VHDL IP核,64位 标准FIPS 46-3 NIST,并且使用3组64位密钥-The VHDL implementation 3DES,The core complies with the Triple-DES 64-bit block cipher defined in FIPS 46-3 NIST standard and operates with three 64-bit keys. Functional Descr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:138511
    • 提供者:XU
  1. LIP1602CORE_des

    0下载:
  2. Verilog DES Encrption Module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:36563
    • 提供者:jc
  1. Description-of-DES-with-VHDL

    0下载:
  2. 用VHDL描述DES算法 用硬件的方式DES加解密 体现了硬件编程人一般思想-DES algorithm using VHDL descr iption of the way with hardware DES encryption and decryption hardware programming reflects the general thinking of people
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:13888
    • 提供者:lichen
  1. DES_VHDL

    0下载:
  2. DES VHDL FPGA CODING
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11314793
    • 提供者:张彬
  1. ppx16_0146

    0下载:
  2. pic core in vhdl contient tous les descr iption des composants du pic 16f84 -pic core in vhdl contient tous les descr iption des composants du pic 16f84
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:27751
    • 提供者:ali
  1. SIMULATION-AND-SYNTHESIS-OF-TRIPLE-DES-BLOCK-CIPH

    0下载:
  2. SIMULATION AND SYNTHESIS OF TRIPLE-DES BLOCK CIPHER USING VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:10846
    • 提供者:saipraveen
  1. FPGA-BASIC-DES

    0下载:
  2. 采用vhdl实现DES算法,有详细的设计理论。为电子科技大学研究生论文。-VHDL realize the use of DES algorithm, a detailed design theory. For the University of Electronic Science and Technology Graduate thesis.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2537059
    • 提供者:邓秀华
  1. DESdpj

    0下载:
  2. 简明的DES密码算法的VHDL代码,实现了基本的加脱密-Condensed DES cryptographic algorithm VHDL code, basic plus decryption
  3. 所属分类:assembly language

    • 发布日期:2017-11-17
    • 文件大小:5164
    • 提供者:李风光
  1. 3des_vhdl_latest.tar

    0下载:
  2. DES/3DES open core used VHDL. 在实际系统中使用过,完美无缺。-DES/3DES open core used VHDL. Used in the actual system, perfect.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:121268
    • 提供者:jinghang sun
  1. DES

    1下载:
  2. 一种基于VHDL的DES加密实现方法,经过实际验证可以运行-A VHDL-based DES encryption method, you can run after the actual verification
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:11136
    • 提供者:邱世中
  1. DES-S

    0下载:
  2. des加密算法在MATLAB中,通过VHDL语言的实现-des encryption algorithm in MATLAB, through the realization of VHDL language
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-25
    • 文件大小:225976
    • 提供者:renjinjun
  1. DES-Encryption

    0下载:
  2. VHDL Encryption/ Decryption Algorithm
  3. 所属分类:CA program

    • 发布日期:2017-05-11
    • 文件大小:2383959
    • 提供者:WafaMahdhi
  1. tb_des_loop

    0下载:
  2. des——top加密vhdl模块,顶层设计接口用于docsis3.0加密(Des - Top encryption VHDL module, top-level design interface for docsis3.0 encryption)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:1024
    • 提供者:pengtao1581
« 1 2 34 »
搜珍网 www.dssz.com