CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - digital Design

搜索资源列表

  1. SystemVerilog_2nd.pdf

    1下载:
  2. System Verilog 验证设计。主要讲如何编写测试用例。设计数字电路比较经典的教程。-System Verilog design verification. Mainly about how to write test cases. Digital circuit design more classic tutorial.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1982357
    • 提供者:david lee
  1. clock

    0下载:
  2. 利用8255 8253完成在七段数码管上时间显示设计-Use 82558253 completed on time display seven-segment digital tube design
  3. 所属分类:assembly language

    • 发布日期:2017-03-28
    • 文件大小:1651
    • 提供者:happyjack
  1. CF-power2

    2下载:
  2. 基于STM32F103的数控电源设计,双四位数码管显示,带按键,继电器控制。-Based on the the STM32F103 NC power supply design, the four dual digital display with buttons, relay control.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:597038
    • 提供者:ytfuyfu
  1. lpc2132_board

    1下载:
  2. LPC2132开发板电路的设计,经调试可用,包括RS232,485接口,数码管显示,按键以及JTAG电路等-LPC2132 development board circuit design through debugging available, including RS232, 485 interface, digital tube display, keypad, as well as JTAG circuit
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:70901
    • 提供者:韩祖渊
  1. fpga_FILTER

    0下载:
  2. 基于FPGA的可编程数字滤波器系统,基于FPGA的数字滤波器的设计与实现,基于FPGA流水线分布式算法的FIR滤波器的实现-FPGA-based programmable digital filter system, the digital filter based on FPGA Design and Implementation, Distributed Pipelined FPGA-based FIR filter algorithm to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2429640
    • 提供者:玉玲
  1. shuzidianyabiao

    1下载:
  2. 一个数字电压表的设计,ADC0809 lcd显示,可以参考-A digital voltage meter design, ADC0809 lcd display, you can reference
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:39740
    • 提供者:houjunyu
  1. cnt10

    0下载:
  2. 一个用VHDL语言编写的十进制计数器,后续还有分频器、数据选择器、七段数码显示程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -VHDL language us
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:242423
    • 提供者:QQ
  1. ppt

    0下载:
  2. Verilog数字系统设计教程(夏宇闻)例题源程序 ppt-Verilog digital system design course (XiaYuwen) sample source program PPT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:285990
    • 提供者:陈权标
  1. MC145152

    0下载:
  2. 1、数字锁相环的单片机代码。 2、单片机与数字锁相环MC145152的应用系统的设计与实现。-1, the single-chip digital phase-locked loop code. 2, microcontroller and digital PLL MC145152 Application System Design and Implementation.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:11850
    • 提供者:foxmail2008
  1. MMSPDU

    1下载:
  2. 基于VisualC_的MMSPDU编解码系统设计,iec61850 数字化变电站编程-Codec based on VisualC_ of MMSPDU system design, iec61850 programming digital substation
  3. 所属分类:行业应用软件

    • 发布日期:2012-11-07
    • 文件大小:205282
    • 提供者:yy
  1. 48_order-FIR-filter-with-8-folder

    1下载:
  2. 该代码是设计一个48阶FIR滤波器的文档,该设计方案主要运用了数字信号处理VLSI实现中的折叠的方式。-The code is a 48-order FIR filter design document, the main use of the design of VLSI implementation of digital signal processing in the way of folding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:794017
    • 提供者:shenjian
  1. DigitalClock

    0下载:
  2. 单片机数字钟设计,采用PROTEUS仿真-digital clock design
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:85275
    • 提供者:康洪超
  1. kechengsheji

    0下载:
  2. 此文件夹是课程设计的几个项目,里面又LCD12864时钟程序、基于数码管时钟程序、温度监控系统-This folder is of the curriculum design of several projects, inside and LCD12864 clock program, based on digital tube clock program, temperature monitoring system
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4127882
    • 提供者:sunn123
  1. AnalogSimulation

    1下载:
  2. 压缩感知的宽带信号的模数转换及分析代码。具有很强的理论分析与应用价值。-Simulation package of sub-Nyquist sampling and support recovery using the modulated wideband converter (MWC). This page describes the MWC design, the Matlab code, and offers two software packages: analog and digita
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:1597148
    • 提供者:casey
  1. ddc_FPGA

    3下载:
  2. 简要介绍了数字下变频的设计,通过采用xilinx的ise软件,ipcore的调用实现-Briefly introduced the design of digital down conversion, through the use of ise the xilinx software, ipcore call the realization of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-16
    • 文件大小:2577932
    • 提供者:望天
  1. stopwatch

    0下载:
  2. 利用Quarteus II 6.0 设计一个秒表,通过7段数码管显示,以及开关控制秒表的启停。-Quarteus II 6.0 design using a stopwatch, digital tube through 7 show, as well as the switch control of the start and stop the stopwatch.
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:201203
    • 提供者:范兴容
  1. fpga-dds

    0下载:
  2. 本文介绍了dds的原理以及altera公司的fpga器件FLEX10K系列的主要特点,给出了用EPF10K40实现直接数字频率合成器的工作原理、设计思路、电路结构和仿真结果以及功能改进。-This article describes the principles dds and altera fpga device company FLEX10K series of key features, is given with EPF10K40 the direct digital frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:40878
    • 提供者:贾南
  1. Electronic-scale

    0下载:
  2. 一个简单数字电子秤的硬件电路部分和软件部分的设计-A simple digital electronic scale hardware and software design of some
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:1158324
    • 提供者:lobill
  1. matlab_junhengqi

    2下载:
  2. 基于MATLAB编程的数字均衡器的设计,包含设计的matlab源文件以及说明文字-MATLAB program based on the design of digital equalizers, including the design matlab source files, as well as explanatory text
  3. 所属分类:matlab

    • 发布日期:2016-12-05
    • 文件大小:326230
    • 提供者:潘文
  1. matlab

    0下载:
  2. 汽车车牌数字识别(上个学期的数字图像处理的课程设计),能运行-Automobile license plate number identification (the last semester of digital image processing course design), can run
  3. 所属分类:Special Effects

    • 发布日期:2017-03-24
    • 文件大小:8095
    • 提供者:yujing
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com