CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - digital Design

搜索资源列表

  1. electric-8.08

    0下载:
  2. The ElectricTM VLSI Design System is an open-source Electronic Design Automation (EDA) system that can handle many forms of circuit design, including: * Custom IC layout * Schematic Capture (digital and analog) * Textual Languages such a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15382351
    • 提供者:杨晓斐
  1. new

    0下载:
  2. DS1302的1602时钟电路设计LED数码管时钟电路采用24h计时方式,时、分、秒用六位数码管显示,其中小时、分、秒之间用小数点分开。该电路采用AT89C52单片机和DS1302实时时钟芯片,使用5V电源进行供电,使用3个按键进行调时,调整过程中被调节的分钟或时钟将进入闪亮状态,看上去非常直观,另外,本设计还具有快速调时功能,当按键一直被按下时,便进入快速调时状态。[-DS1302 circuit design of the 1602 clock LED digital tube clock
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:74991
    • 提供者:luchanggui
  1. jibenzuiyouFIR

    1下载:
  2. 采用不同的优化方法设计最优的标准多频带FIR数字滤波器。-Optimization method using different criteria for optimal design of multi-band FIR digital filter.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-10
    • 文件大小:1301
    • 提供者:hithit
  1. DDC_CIC

    0下载:
  2. 用CIC 和 FIR Filters设计的数字下变频器,DSP Builder6.1版工程文件-Using CIC and FIR Filters Design of Digital Down Converter, DSP Builder6.1 version of project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:50328
    • 提供者:
  1. Baseband_line_code

    1下载:
  2. 本课程设计完成了基带线路码产生电路的设计,数字基带信号的传输是数字通信系统的重要组成部分之一。在数字通信中,有些场合可不经过载波调制和解调过程,而对基带信号进行直接传输。为使基带信号能适合在基带信道中传输,通常要经过基带信号变换,这种变换过程事实上就是编码过程。本些课题实现了这样的编码过程。-This course is designed to use VHDL hardware descr iption language completed the base-band circuits hav
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:683092
    • 提供者:li
  1. 123

    0下载:
  2. 信号与系统基础知识包括连续信号与模型、离散信号与模型;常用信号变换包括Z变换、Chirp Z变换、FFT变换、DCT变换和Hilbert变换等;离散系统结构包括IIR、fir和Lattice结构;IIR滤波器设计包括模拟和数字低通、高-Basic knowledge of signals and systems, including continuous signal with the model, discrete signals and model common signal, inclu
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:3050
    • 提供者:
  1. ElectronicCodeLock

    0下载:
  2. 设计一个通用电子密码锁,具体功能如下:[1]数码输入 [2]数码清除 [3]密码更改 [4]激活电锁 [5]解除电锁-The design of a universal electronic code lock, the specific features are as follows: [1] digital input [2] Digital Clear [3] Password Change [4] to activate electric lock [5] the lifting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1436
    • 提供者:小夏
  1. teletext_specification

    0下载:
  2. 数字电视中Analog TV开发要用到的VBI中的teletext的spec-Teletext specification for digital TV design.
  3. 所属分类:Streaming_Mpeg4

    • 发布日期:2017-03-29
    • 文件大小:903532
    • 提供者:
  1. BIYEDEJI

    1下载:
  2. 本设计为基于MSP430单片机的两线制一体化智能温度变送器模块,它支持工业上常用的热电阻与热电偶传感器,同时它还能够通过简易的RS-232口进行参数设定。系统主要由电源模块、AD采样模块、MCU模块、通讯模块及就地指示模块等部分组成。软件上采用了限幅平均滤波、数字校准、迭代等方法,与硬件配合,获得了比较高的检测精度。该温度变送模块具有电路简单、 精度高、 超低功耗等特点,它可以很方便地安装到现场,实现对温度的实时检测,具有较好的实用价值。-The design is based on the i
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-03-10
    • 文件大小:2301032
    • 提供者:叶剧
  1. Chebyshev1IIRdigitalfilter

    0下载:
  2. 这是本人夏季学期的课程设计:模拟信号数字化滤波处理的计算仿真-Chebyshev I型低通滤波,对模拟信号进行数字化滤波处理。根据数字滤波器的性能指标,计算出Chebyshev1型低通IIR数字滤波器的系统函数,画出数字滤波器的频率响应曲线,给出Chebyshev1型低通IIR数字滤波器的设计结果。-This is my summer semester of the curriculum design: analog signal processing digital simulation f
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2229
    • 提供者:阿祥古
  1. Digital Image Practical Engineering Cases

    2下载:
  2. 本书提供了大量的商业工程案例,如车牌识别,细胞识别,图案设计,数码相机调焦以及图像数控编程等。-This book provides many cases of commercial projects, such as license plate recognition, cell recognition, graphic design, digital camera zoom, as well as NC programming, such as images.
  3. 所属分类:图形/文字识别

    • 发布日期:2013-01-30
    • 文件大小:7916734
    • 提供者:陈平
  1. IC

    0下载:
  2. Non-contact IC card of digital design, how to run the card issuing device, how to operate the application
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:49642
    • 提供者:
  1. dig_filter

    1下载:
  2. 数字低通滤波器到数字带通滤波器 MATLAB编码设计-Digital low-pass filter to the digital band-pass filter design MATLAB coding
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:3940
    • 提供者:凌衾
  1. paobiao

    0下载:
  2. 一个基于FPGA的数字跑表系统的设计,最小单位是百分表位。采用十进制进位。-FPGA-based digital stopwatch system design, the smallest unit is a digital dial indicator. Binary using the metric system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:43860
    • 提供者:jyb
  1. ebook_verilog_fine_state_machine

    0下载:
  2. Designing a synchronous finite state machine (FSM) is a common task for a digital logic engineer. This paper discusses a variety of issues regarding FSM design using Synopsys Design Compiler. Verilog and VHDL coding styles are presented, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:121466
    • 提供者:rex
  1. shuzipinlvji

    0下载:
  2. 数字频率计的设计,有设计流程,设计中用到的元件原理电路,接线,方案论证。-Digital Design, a design flow, design of components used in the principle of circuits, cables, demonstration program.
  3. 所属分类:assembly language

    • 发布日期:2017-04-27
    • 文件大小:181952
    • 提供者:王勇
  1. alu

    0下载:
  2. 设计带进位算术逻辑运算单元,根据74LS181功能表,用Verilog HDL硬件描述语言编程实现ALU181的算术逻辑运算功能,编辑实验原理图,在算术逻辑单元原理图上,将其扩展为带进位的算术逻辑运算单元,对其进行编译,并设计波形对其进行仿真验证,最后下载验证-Design into the digital arithmetic logic operation unit, in accordance with menu 74LS181 with Verilog HDL hardware desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:667999
    • 提供者:623902748
  1. design

    0下载:
  2. 将不同类型的数据在屏幕显示出来,相当于显示一个数字矩阵。-Different types of data displayed on the screen, which is equivalent to a digital matrix display.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-15
    • 文件大小:5768
    • 提供者:康纪
  1. DS1302clockchip

    0下载:
  2. 使用数字式时钟芯片DS1302设计的电子时钟,Keil51实现,实际运行通过-The use of digital design of the DS1302 clock chip electronic clock, Keil51 achieved through the practical operation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:78507
    • 提供者:wangfei
  1. sheji2

    0下载:
  2. 一个秒表的硬件设计,学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。-The hardware design of a stopwatch, learn basic digital circuit in the RS flip-flops, monostable multivibrator, the clock generator and counting, decoding display unit integrated circuit applic
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:130758
    • 提供者:周妮
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com