CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fpga 数据

搜索资源列表

  1. FPGA2SRAM

    0下载:
  2. 利用FPGA向SRAM中传输数据,可用于FPGA芯片的初始化和配置-The use of FPGA to transmit data to the SRAM, FPGA chips can be used for initialization and configuration
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:277972
    • 提供者:jackk
  1. ActelFPGA_Camera_ApplicationNote

    0下载:
  2. 摄像头的使用在当今信息化社会中越来越被重视,它可以实时采集现场环境信息,被广 用于安防、工业、交通、商业、金融、体育、军事等领域。本方案主要是基于 Actel Fla 构的 FPGA 来实现视频数据转换、 SDRAM 缓存控制、 TFT 时序控制等功能, 并通过 FPG 活的结构实现摄像头图像的采集与数据处理的功能。 -ActelFPGA_Camera_ApplicationNote
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:267041
    • 提供者:zxx359654879
  1. ActelFPGA_MSP_ApplicationNote

    1下载:
  2. 多串口扩展芯片广泛应用于各种多路通信、数据采集场合,它弥补了一般 MCU串口数 量少的不足,扩展了处理器的串口通道,使得处理器能与更多的串口设备进行通信。本方案 采用 Actel Flash 架构的 FPGA 实现扩展多路串口功能,并通过 FPGA 灵活的结构来为用户 定制不同的功能,可以广泛应用于工业控制、智能家居以及其他需要多路串口的场合,该方 案已经被多家公司采纳。 -Multi-serial port expansion chip is widely applied in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:268385
    • 提供者:zxx359654879
  1. sram_saa1117verilog

    0下载:
  2. 图像采集、存储控制verilog源代码,fpga控制SAA1117,采集数据存储到sram,仿真编译测试都能通过-Image acquisition, storage, control verilog source code, fpga control SAA1117, collecting data to sram, simulation tests can be compiled by
  3. 所属分类:Video Capture

    • 发布日期:2017-03-28
    • 文件大小:26020
    • 提供者:蹇清平
  1. 68013datasheet

    0下载:
  2. 一个非常不错的描述68013芯片数据手册,希望给大家分享-A very good descr iption of 68013 chip data sheet, hoping to share with you
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:516443
    • 提供者:苏清波
  1. daq_arm_fifo

    0下载:
  2. 实现FPGA与ARM的通信,数据、地址总线方式-FPGA(xilinx) and the ARM(三星2440) implementation of communications, data and address bus mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:5463
    • 提供者:刘义红
  1. FPGA_AD

    1下载:
  2. 基于 Cyclone EP1C6240C8 FPGA的ADS2807接口程序,主要用来使用FPGA控制ADS2807的采集。 采用FPGA来模拟ADS2807的时序来实现控制功能。 提供采样频率控制、AD通道转换、采样数据缓存等功能。-Cyclone EP1C6240C8 FPGA-based interface program of the ADS2807, ADS2807 is mainly used to control the use of FPGA collection. AD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:246908
    • 提供者:icemoon1987
  1. FPGA_ADDA

    0下载:
  2. 基于 Cyclone EP1C6240C8的ADS2807,DAC2902 测试程序。主要用来使用FPGA控制ADC采集和DAC的输出,从而达到高频率信号处理的功能。首先从ADC2807采集数据,然后送给DAC2902输出。 采用FPGA口线模拟ADC2807和DAC2902的时序来实现。 提供ADC采样频率控制、DAC输出频率控制、输出波形控制、ADC通道转换、DAC通道转换等功能。-Based on Cyclone EP1C6240C8 of the ADS2807, DAC2902
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2019752
    • 提供者:icemoon1987
  1. crc16_8

    0下载:
  2. crc16,数据位宽为8,verilog编码-crc16 ,datawidth is 8,coding by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1219
    • 提供者:chenk
  1. jj

    1下载:
  2. 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形的单次触发、连续触发和存储回放功能,并按要求进行了垂直灵敏度和扫描速度的挡位设置。信号采集时,将外部输入信号经信号调理模块调节到A/D电路输入范围,经A/D转换后送入FPGA内部的双口RAM进行高速缓存,并将结果通过D/A转换送给通用示波器进行显示,完成了对中、低频信号的实时采样和高频信号的等效采
  3. 所属分类:其他小程序

    • 发布日期:2017-06-10
    • 文件大小:546457
    • 提供者:黄奇家
  1. DataSample

    0下载:
  2. 基于8051单片机+FPGA的高速数据采集系统单片机部分,C源码,对于这种结构的数据采集系统有一定的参考意义-8051 MCU+ FPGA based high-speed data acquisition system in SCM, C source code, for this structure to the data acquisition system has some reference value
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:3798
    • 提供者:bjtu
  1. test_com

    0下载:
  2. 本实验是用来测试FPGA和串口之间的通信的,FPGA发数据读串口的写数据,再发到串口显示出来。-This experiment is used to test the communication between the FPGA and the serial port of, FPGA send data read write serial port data, and then sent to the serial port is displayed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:555242
    • 提供者:郝春贺
  1. 315MHz_Communication

    0下载:
  2. 本人写的一种在恶劣环境下的用315MHz无线模块进行可靠的数据通信的编解码方法,经过软硬件测试,可靠性很高!-I write a bad environment in the wireless module with 315MHz for reliable data communication encoding and decoding methods, through hardware and software testing, reliability is high!
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:38274
    • 提供者:刘凡
  1. memtest

    0下载:
  2. 在数字系统中,一般存在多个芯片,利用不同的特点用于实现不同的功能,一般都包含CPU,FPGA,AD,DA,memory,ASSP(专用标准模块),ASIC等。CPU用于进行智能控制,FPGA进行硬件算法处理和多设备接口,AD进行模数转换,DA进行数模转换,memory存储临时数据。因此,FPGA如何与其他芯片进行通讯是重要的设计内容。数据输入,数据输出,双向通讯,指令传递,地址管理,不同时钟的异步通讯问题等等都需要处理。最基本的MEMORY如SRAM(128KX8bbit静态存储器628128)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:223409
    • 提供者:平凡
  1. paper_FPGA

    0下载:
  2. 基于FPGA控制的高速固态存储器设计,对固态存储器进行了需求分析, 根据航天工程对高速固态存储器的需求, 确定了设计方案。 针对航天工程对高速固态存储器速率要求较高的特点, 在逻辑设计方面采用流水线技术、并行总线技术。在器件选择方面, 采用LVDS构成接口电路, FPGA构成控制逻辑电路电路, SDRAM芯片阵列构成存储电路。设计了高速固态存储器。该设计简化了硬件电路, 大大提高了存储数据的速率。-FPGA-based control design of high speed solid s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:261784
    • 提供者:lyh
  1. shujucaiji

    0下载:
  2. 用一片FPGA、模数转换器ADC0809和数模转换器DAC0832构成一个数据采集系统,并用FPGA实现数据采集中对ADC、增量调制运算、DAC以及有关数据显示的控制-Using an FPGA, ADC and DAC DAC0832 ADC0809 form a data acquisition system and data acquisition with the FPGA on ADC, delta modulation operation, DAC, and control of t
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:23686
    • 提供者:唐向军
  1. C51_module

    0下载:
  2. 积累的c51编程小模块,内附22个源代码,涉及到单片机、串口、fpga、交通灯、ad、da、按键扫瞄、液晶显示、电子钟、数据处理等。可供参考。-C51 programming accumulated a small module, containing 22 source code, related to a single chip, serial, fpga, traffic lights, ad, da, keypad scanning, LCD display, data processi
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:21914
    • 提供者:张尅
  1. FTOD_SDRAM10.3.18

    0下载:
  2. FPGA与DSP数据接口转换时序,简单实用的,SDRAM时序读写数据。-FPGA and DSP data interface conversion timing, simple and practical, SDRAM read and write data timing.
  3. 所属分类:Other systems

    • 发布日期:2016-10-14
    • 文件大小:13487089
    • 提供者:郝金
  1. noise

    1下载:
  2. 基于FPGA的噪声产生电路,用MATLAB设计噪声仿真程序,产生仿真数据的方法。并利用FPGA模拟信号。其中有详尽的matlab仿真程序,FPGA仿真结果以及总的设计报告。-Noise generating circuit based on FPGA, using MATLAB simulation program designed noise, resulting simulation data. Analog signal using the FPGA. Which detailed mat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:1278976
    • 提供者:hp
  1. DDS_Set

    0下载:
  2. AD9852,DDS芯片接收数据逻辑。(Verilog语言)-AD9852, DDS chips receive data logic. (Verilog language)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1440
    • 提供者:zhangwei
« 1 2 3 4 5 67 8 9 10 11 ... 44 »
搜珍网 www.dssz.com