CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - max PLUS

搜索资源列表

  1. AutomobiletaillightcircuitPLDrealization

    0下载:
  2. 这个话题主要基于可编程逻辑器件,用硬件描述语言(VHDL)硬件描述语言(VHDL),采用“自顶向下”的设计方法,写一汽车尾灯控制器芯片,并且使用“最大加二世的软件模拟的结果。-This topic is mainly based on programmable logic devices, use VHDL VHDL, adopting "top-down" design methods, write an automobile tail light controller chip, and u
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:874475
    • 提供者:吴丹
  1. pc8_1

    0下载:
  2. MAX+PLUS II BASELINE Version 8.1 Software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-22
    • 文件大小:39269822
    • 提供者:hcet
  1. booth1.dir

    0下载:
  2. booth multiplier in max-plus 10.2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:150996
    • 提供者:nasser
  1. AmaxBmin

    0下载:
  2. alpha max plus beta min algorithm
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:524
    • 提供者:none
  1. Maxplus2_Tut_v3.0

    0下载:
  2. max plus book. tutariol.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:79001
    • 提供者:sce
  1. 2

    0下载:
  2. EDA的课程设计,利用VHDL语言、PLD设计基于FPGA的出租车计费系统,选用ALTERA公司低功耗、低成本、高性能的FPGA芯片EPF10K10,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了编译,功能仿真和下载。使其实现计费以及预置和模拟汽车启动、加速、停止、暂停等功能,并动态扫描显示车费数目。-EDA curriculum design, the use of VHDL language, PLD design FPGA-based taxi billing s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8175
    • 提供者:wang
  1. MUXplus2

    0下载:
  2. Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。本资源分七节内容详细的讲解了MUX+PLUSⅡ软件的操作及应用。-Altera Max+ plus Ⅱ is provided by FPGA/CPLD development integration environment, Max+ plus Ⅱ friendly interface and easy to use, known as the ED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1069646
    • 提供者:vanrry
  1. eda

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system uses VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development platform, the taxi meter system was desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:164952
    • 提供者:OFDM
  1. vhdl

    0下载:
  2. 基于FPGA的技术,使用MAX +PLUS软件仿真的,应用vhdl语言实现汉字滚动显示-Based on FPGA technology, the use of MAX+ PLUS simulation software, application vhdl language Chinese scroll
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2266553
    • 提供者:张龙
  1. count

    0下载:
  2. 1.用VHDL设计具有清除端、使能端,计数范围为0~999的计数器,输出为8421BCD码; 2.用VHDL设计十进制计数器(BCD_CNT)模块、七段显示译码器电路(BEC_LED)模块和分时总线切换电路(SCAN)模块。 3.用MAX+plusⅡ进行时序仿真。 -1. VHDL design with a clear end to end so that the count range of 0 to 999 in the counter, the output is 8421B
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:208546
    • 提供者:小白
  1. mul_ser12

    0下载:
  2. 本源码是用Verilog编写的12位移位相加乘法器的设计源码,开发软件为MAX+PLUS,已经测试通过。-The Verilog source code is written in the sum of 12-bit shift multiplier design source code, developing software for the MAX+ PLUS, has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:305555
    • 提供者:Aaran
  1. VHDL5.2

    0下载:
  2. In this report the design, implementation and testing of a Combination State Lock Machine from the given information, all of the design steps will be carried out using altera Max Plus II software package.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:245172
    • 提供者:zyad
  1. balu

    0下载:
  2. 抢答器实验报告,课程设计,毕业设计,MAX+PLUS-Responder lab reports, curriculum design, graduate design, MAX+ PLUS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:250594
    • 提供者:xuefj
  1. MaxPPlus-II

    0下载:
  2. maxplusII教程 Max+Plus II 简易用户使 用入门指南-maxplusII teaching material Simple user use portal guide
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:233856
    • 提供者:sherry
  1. electronic-lock-and-VHDL-design

    0下载:
  2. 基于Max+Plus II和VHDL的电子密码锁设计-Based on Max+ Plus II electronic lock and VHDL design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:626
    • 提供者:于春秀
  1. matrix-converter

    0下载:
  2. Implementaion of Current Commutation Strategies of Matrix Converters in FPGA and Simulations Using Max+Plus-Implementaion of Current Commutation Strategies of Matrix Converters in FPGA and Simulations Using Max+PlusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:412089
    • 提供者:belghith
  1. MAXPPLUS-II

    0下载:
  2. 这是一个有关使用MAX+PLUS II原理图输入设计方法进行FPGA设计的教程,便于快速入门。-This is about using the MAX+ PLUS II schematic design methodology for FPGA design tutorials, easy Quick Start.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:799860
    • 提供者:王红卫
  1. Four-adder-of-subtracter

    0下载:
  2. 在max+plus II 的环境下设计4位全加器数字电路 使用vhdl语言,进行设计数字电路的RTL级电路 -Four full adder digital circuit design environment, max+ plus II RTL-level circuit, digital circuit design using vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:566987
    • 提供者:东方不败
  1. vhdl-hardware-implementation

    0下载:
  2. 本书主要介绍了VHDL的基础知识和硬件实现技术。全书由三部分组成:第一部分介绍了VHDL语法和程序结构,第二部分介绍MAx+plus II仿真软件的使用方法和数字逻辑电路的VHDL程序设计实例,第三部分介绍了硬件实现和硬件设计技巧。读者可以通过本书初步学会VHDL的使用,并对硬件实现中的关键技术问题有所理解和认识,快速掌握VHDL及其应用,并初步掌握硬件实现的关键技术。-This book introduces the VHDL basics and hardware implementatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:15122801
    • 提供者:东方不败
  1. FPGA

    1下载:
  2. FPGA交通灯说明: 1. 本程序使用VHDL加原理图方式设计而成。 2. 实验时,使用Quartus II软件完成了工程管理与下载验证,使用max+plus II软件进行了功能仿真。 3. 由于实验当时对原理图文件缺乏足够的认识,导致原原理图以及仿真输出文件已经丢失。现在的工程 RTL视图以及仿真输出波形均是在Quartus II软件下得到的。-FPGA traffic lights shows:1procedures for the use of the VHDL sch
  3. 所属分类:VHDL编程

    • 发布日期:2018-04-18
    • 文件大小:455885
    • 提供者:WangQunfeng
« 1 2 3 4 56 7 »
搜珍网 www.dssz.com