CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - max PLUS

搜索资源列表

  1. eda6

    0下载:
  2. 以Altera公司的MAX+plus II为工具软件,采用Verilog HDL文本输入设计法设计8位二进制加减计数器,生成元件符号-Altera s MAX+plus II tools software, using Verilog HDL text input method to design8 binary addition and subtraction counter, generating element symbol
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:34826
    • 提供者:王宇
  1. verilog_hdl

    0下载:
  2. You can now read the brochure in the Quartus II. Altera ® the Quartus ® II design software is suitable for The most comprehensive single-chip programmable system (SOPC) design environment. If you have previously used MAX + PLUS ® II s
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1344286
    • 提供者:任耀庭
  1. Taximeter

    0下载:
  2. 采用VHDL编写出租车计费器系统程序,采用MAX+PLUSⅡ软件作为开发平台,进行了程序仿真,验证设计 实现了出租车计价器的相关功能。-Several current problemsarediscussedandonekindof designscheme for themultifunctional taximeter basedonPIC16F877isprovided. It realizesthenormal pricing. Still it hasthefunctionof
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:388260
    • 提供者:Ruirui
  1. VHDL

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。-And select and use Descr iption Language applying broader VHDL hardware circuit at present in capital being designed, the hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:265860
    • 提供者:陈金峰
  1. verilog

    0下载:
  2. Verilog 中文教學 1.簡介 2. Verilog 的模型 3. Verilog 的架構 4. MAX+plus II 的 環境 5. 基本資料型態 6. 輸出入埠的宣告 7. 邏輯閘階層模型的敘述 8. 資料流模型的敘述 9. 行為模型的敘述 10. 編譯命令 11. 循序邏輯電路範例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:599913
    • 提供者:bill
  1. askfsk

    0下载:
  2. 通信原理ask、fsk仿真流程,采用max+plus实现-Communication theory ask, FSK simulation processes using max+plus achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:298875
    • 提供者:lpx_matlab
  1. MaxPlusII

    0下载:
  2. Max+Plus II 简易用户使用入门指南,适合于初学者。-Max+Plus II
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:236164
    • 提供者:痴心绝对
  1. accumulator

    0下载:
  2. accumulator max plus
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:5235
    • 提供者:rls1324
  1. address_register

    0下载:
  2. address register max plus
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:3220
    • 提供者:rls1324
  1. data_register

    0下载:
  2. data register max plus
  3. 所属分类:Other systems

    • 发布日期:2017-12-08
    • 文件大小:5120
    • 提供者:rls1324
  1. instruction_register

    0下载:
  2. instruction register max plus
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:5291
    • 提供者:rls1324
  1. program_counter

    0下载:
  2. program counter max plus
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:4446
    • 提供者:rls1324
  1. MAXPplus-II

    0下载:
  2. MAX+plus II的安装以及破解方法,和大家共享一下,有需要的拿去参考一下。-Installation as well as crack MAX+plus II, and share, there is a need to take a look.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:23086
    • 提供者:陈玉宝
  1. stopwatch

    0下载:
  2. 24小时计时表和计数译码显示电路的编程,显示秒、分、小时, MAX+plus II 仿真。-24 hours stopwatch and count decoding display circuit programming, display seconds, points, hours, MAX+ plus II simulation.
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-20
    • 文件大小:218607
    • 提供者:宋辉
  1. Multi-function-waveform-generator

    0下载:
  2. 本系统应用VHDL语言及MAX+PLUS II仿真软件利用自顶向下的设计思想进行设计,结合示波器加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。具有产生四种基本波形脉冲信号(方波、三角波、锯齿波和正弦波),且脉冲信号输出幅度及输出频率可调,对于方波信号,还可以实现占空比可调。通过软件仿真和硬件测试都得到了预期的结果。-The system using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1485546
    • 提供者:xinxing
  1. frequency-divider-graphic-design

    0下载:
  2. 数字系统EDA 多级分频器图形设计 熟悉和掌握MAX+PlusⅡ的编译、仿真操作。-The multi-level divider graphic design of digital systems EDA familiar with and master MAX+Plus Ⅱ compilation, simulation operation.
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:256332
    • 提供者:王海阔
  1. Digital-system-EDA

    0下载:
  2. 四位二进制数可预置可逆计数器设计 学习使用MAX+PlusⅡ文本编辑器的模板输入方法,熟悉常用语句的语法现象,掌握VHDL功能描述和结构描述的方法。-Four binary number can be preset the reversible counter design learning using a text editor MAX+Plus Ⅱ template input method, familiar with common statement syntax phenomenon
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:161608
    • 提供者:王海阔
  1. 24seconds

    0下载:
  2. 24秒倒计时的vhdl程序,采用Max plus -24 seconds countdown vhdl procedures, using Max plus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:406631
    • 提供者:wjk
  1. frqcounter

    0下载:
  2. 频率计vhdl代码,采用max plus -Frequency counter vhdl code using max plus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:81623
    • 提供者:wjk
  1. 6renqiangdaqi

    0下载:
  2. 包括做实验的所有文件打包给大家啦,MAX+plus II设计电路图,gdf文件,mod文件,报告书,一切齐全啦,当时被评为优秀成绩的,特别推荐给大家,电路绝对优秀,直接上机操作,导入电路图即可验证演示。-Including all the files packaged experiment to you friends, MAX+ plus II design schematics, gdf files, mod files, reports, everything is complete yo
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:357465
    • 提供者:zxy
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com