CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - modelsim 6.5

搜索资源列表

  1. Modelsimhelp

    0下载:
  2. Modelsim 5.6 se 简易使用教程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:251774
    • 提供者:李明
  1. Altera Modesim破解版的LICENCE

    0下载:
  2. Altera Modesim破解版的LICENCE. 下载解压后: 1.直接运行mentorkg.exe(生成的license.txt拷贝到D:\altera\80\modelsim_ae\下或者mentorkg.exe拷贝到此目录下运行). 2.设置环境变量lm_license_file="D:\altera\80\modelsim_ae\license.txt" 3.搞定,Altera Modesim cracked version of the LICENCE.
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-24
    • 文件大小:313152
    • 提供者:xingyu
  1. sim.rar

    2下载:
  2. 通用的循环码编码器和(7,4)循环码译码器。采用VERILOG HDL编写,通过硬件验证。需使用modelsim 5.6仿真,Common cyclic code encoder and (7,4) cyclic code decoder. VERILOG HDL preparation used by the hardware verification. Need to use simulation modelsim 5.6
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-23
    • 文件大小:34153
    • 提供者:来来
  1. ModelSim6.5_March_9_2009.rar

    0下载:
  2. Modelsim6.5 2009年官方培训教程,Official Modelsim6.5 2009 Training Course
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4288434
    • 提供者:王辉
  1. modelsim_6.3f_6.4b_6.5_crck.ra

    1下载:
  2. 目前这个生成的key在modelsim se 6.3f 6.4b 6.5测试没问题。因为这几个版本是我逐步升级的,应该说从6.3f~6.5的都可以用。测试环境为windows xp sp3. vista没有测试。按理说是一样的。使用过程中遇到的一些问题的解决办法关于key里面生成中文字符的情况产生原因是,windows当前用户名和主机名是中文,修改之后重新生成一次。在安装的时候要设置环境变量LM_LICENSE_FILE,指向lincense的的路径和文件名。需要在cmd下使用modelsim的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:308642
    • 提供者:yanghong
  1. Mentorkg_2010

    1下载:
  2. Modelsim 6.6 破解,Windows & Linux通用-Modelsim 6.6 crack, can be used for Windows/Linux edition.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:973824
    • 提供者:原子
  1. modelsim-win32-6.5-se_Crack

    0下载:
  2. modelsim-win32-6.5-se 解破文件。 功能全。可以用到2020年。可以用于VHDL,VERILOG, system C 等模拟及混合模拟。-modelsim-win32-6.5-se solutions broken files. full loaded. expired in 2020.. Can be used for VHDL, VERILOG, system C simulation and mixed simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:879484
    • 提供者:lisi
  1. Modelsim

    0下载:
  2. Modelsim 5.6詳細使用教學手冊-Of Modelsim 5.6 using the instruction manual
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:237528
    • 提供者:張小唯
  1. TestBench

    0下载:
  2. 怎样写testbench 本文的实际编程环境:ISE 6.2i.03 ModelSim 5.8 SE Synplify Pro 7.6 编程语言 VHDL 在ISE 中调用ModelSim 进行仿真-、assert (s_cyi((DWIDTH-1)/4) = 0 ) and (s_ovi = 0 ) and (s_qutnt = conv_std_logic_vector(v_quot,DWIDTH)) and (s_rmndr = conv_std_log
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:90335
    • 提供者:lei
  1. Verilog1C21B21A4_1237797332

    0下载:
  2. Verilog HDL Introduction 1.1 Verilog HDL Introduction 1.2 The basic concept of using the Verilog 1.3 Verilog HDL design concept of modular and hierarchical 1.4 Gate-level design module 1.5 data processing module design 1.6 Behavior Model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4396436
    • 提供者:vkiy
  1. Altera_FPGA_develop(QuartusII_7.2_ModelSim_6.5).ra

    0下载:
  2. Altera FPGA开发说明(QuartusII 7.2 & ModelSim 6.5).pdf 建立和编译QII项目 modelsim功能仿真 QII引脚分配 modelsim时序仿真(建立Altera仿真库) QII下载 -Altera FPGA Development Descr iption (QuartusII 7.2 & ModelSim 6.5). Pdf project to establish and build QII QII pin ass
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3038206
    • 提供者:
  1. 32Kfft

    0下载:
  2. 32KFFT例程,适用于Quartus II 5.0 or later。- This design example requires the following software package: o Quartus II 5.0 or later o FFT MegaCore v2.1.3 o ModelSim version 6.0 or later
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:998790
    • 提供者:J
  1. modelsimPcrack

    0下载:
  2. modelsim 的crack,能够破解6.5se-modelsim of the crack, to break 6.5se
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:864162
    • 提供者:yuexinqi
  1. encoder_Z64_all_rate

    0下载:
  2. Wimax矩阵的LDPC编码器,已通过modelsim仿真测试,并前在altera的FPGA板上通过测试,码率5/6,可进入代码内部修改参数,支持2/3,3/4其他2个码率,数据吞吐量为700M-Wimax based LDPC encoder, modelsim simulation passed, also passed on altera FPGA board, code rate 5/6, also support 2/3,3/4, throughout 700m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:225376
    • 提供者:姜勇吉
  1. I2C_EEPROM

    0下载:
  2. 1. 本测试是夏宇闻 verilog数字系统设计教程,中的例程。 2. 编译环境Quartusii 3. 仿真环境Modelsim se 6.5d 4. 可综合部分已经经过quartus 验证正确 5. 仿真部分通过将I2C模块与一个EEPROM模型组合,通过时序仿真-EEPROM_I2C Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:4738966
    • 提供者:刘栋
  1. mux16

    1下载:
  2. 十六位乘法器的verilog hdl 实现 及 modelsim 仿真 环境为quartusii9.0 自动调用modelsim 6.5输出仿真结果-fpga verilog hdl modelsim quartusii 16-bit multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1327312
    • 提供者:andrew
  1. Example-b8-1

    0下载:
  2. 使用ModelSim对Altera设计进行功能仿真的简要操作步骤 1.建立仿真工程 2.Altera仿真库的编译与映射 3.编译HDL源代码和Testbench 4.启动仿真器并加载设计顶层 5.打开观测窗口,添加信号 6.执行仿真-Using ModelSim Altera design for functional simulation brief Procedure 1. Create a simulation project Compilation and map
  3. 所属分类:Other systems

    • 发布日期:2017-05-16
    • 文件大小:4095070
    • 提供者:朱潮勇
  1. Example-b8-2

    0下载:
  2. 使用ModelSim对Altera设计进行时序仿真的简要操作步骤 1.建立工程,设置仿真工具选项参数 2.使用Quartus II编译工程 3.建立仿真工程 4.Altera仿真库的编译与映射 5.编译HDL源代码和Testbench 6.启动仿真器并加载设计顶层 7.打开观测窗口,添加信号 8.执行仿真-Using ModelSim Altera design for timing simulation of brief steps 1. Establish pro
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:2948200
    • 提供者:朱潮勇
搜珍网 www.dssz.com