CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - modulation vhdl

搜索资源列表

  1. FPGAdezizhixingSPWMboChengXu

    0下载:
  2. 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4576
    • 提供者:小喻
  1. FSKxinhaochafenxitong

    0下载:
  2. FSK差分检波系统仿真的课程设计 本课程设计主要利用MATLAB集成环境下的Simulink仿真平台,设计一个FSK信号差分检波系统。观察FSK调制前后的信号波形,并对调制前后信号的频谱进行分析,再以调制信号为输入,构建差分检波解调系统电路,观察解调前后的信号波形,并对解调前后信号的频谱进行分析。加入噪声分析通过三种不同信道FSK信号差分检波系统接受信号的性能。仿真结果,基本达到课程设计要求。-FSK differential detection system simulation of
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:652250
    • 提供者:球球
  1. ASK

    0下载:
  2. 通信系统的ASK调制程序,比较实用,包括完整的工程-ASK modulation communication system procedures, more practical, including the complete works
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:290781
    • 提供者:wanyou2345
  1. FSK

    0下载:
  2. 通信系统的FSK调制程序,比较实用,包括完整的工程-FSK modulation communication system procedures, more practical, including the complete works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:332508
    • 提供者:wanyou2345
  1. interpolator

    1下载:
  2. 插值滤波器,用于音频解码调制解调,滤波器系数用移位相加实现-Interpolation filter, audio decoder for modulation and demodulation, filter coefficient shift combined with the realization of
  3. 所属分类:matlab

    • 发布日期:2014-07-27
    • 文件大小:967246
    • 提供者:蔡友
  1. AM

    1下载:
  2. FPGA内AM调制工程。内带调制波、载波生成。关键词:FPGA verilog AM DDS-AM modulation works within the FPGA. Within the band modulation wave generated carrier. Key words: FPGA verilog AM DDS
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-11
    • 文件大小:1687703
    • 提供者:baixiangzhou
  1. oqpsk

    1下载:
  2. OQPSK的matlab/simulink仿真程序,程序中在基带仿真了oqpsk的调制方式-OQPSK of matlab/simulink simulation program, the program in the base-band simulation of the modulation OQPSK
  3. 所属分类:matlab

    • 发布日期:2017-03-24
    • 文件大小:3509
    • 提供者:xyg
  1. elecfans.com-74783742

    1下载:
  2. FPGA的重要实例,如PSK调制和解调,ASK,FSK-An important example of FPGA, such as PSK modulation and demodulation, ASK, FSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1279053
    • 提供者:钟莉
  1. ask

    0下载:
  2. 提供一个把通信中ASK调制用VHDL来实现的例子,内附有相应的VHDL源程序。-To provide a communication ASK modulation achieved using VHDL example, enclosing a corresponding VHDL source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:66686
    • 提供者:靳朝
  1. PSK_VHDL

    0下载:
  2. CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言,对基带信号进行调制 -VHDL procedures CPSK modulation- the file name: PL_CPSK- features: VHDL hardware descr iption language based on the base-band signal modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:73127
    • 提供者:huangsong
  1. FSK_HDL

    0下载:
  2. 1. FSK调制VHDL程序 --文件名:PL_FSK --功能:基于VHDL硬件描述语言,对基带信号进行FSK调制 -1. FSK modulation VHDL procedures- File Name: PL_FSK- features: VHDL hardware descr iption language based on the base-band signal FSK modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:52183
    • 提供者:huangsong
  1. DQPSk

    0下载:
  2. 所属分类:Communication

    • 发布日期:2017-05-03
    • 文件大小:988063
    • 提供者:deng
  1. pcmin

    0下载:
  2. 该程序是关于pcm编码的,即脉冲调制技术,用来对输入的模拟信道进行编码和调制-The program is on the pcm coding, that is, pulse modulation techniques, used to input analog channel coding and modulation
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-07
    • 文件大小:880
    • 提供者:靓仔
  1. Baseband_line_code

    1下载:
  2. 本课程设计完成了基带线路码产生电路的设计,数字基带信号的传输是数字通信系统的重要组成部分之一。在数字通信中,有些场合可不经过载波调制和解调过程,而对基带信号进行直接传输。为使基带信号能适合在基带信道中传输,通常要经过基带信号变换,这种变换过程事实上就是编码过程。本些课题实现了这样的编码过程。-This course is designed to use VHDL hardware descr iption language completed the base-band circuits hav
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:683092
    • 提供者:li
  1. ofdm_modulation_v72

    0下载:
  2. 基于altera 芯片得ofdm调制解调源程序-Altera chips were based on OFDM modulation and demodulation source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:924438
    • 提供者:liu
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. ps110

    0下载:
  2. bpsk信号调制,用于产生一种雷达信号。-BPSK signal modulation, used to generate a radar signal.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-11
    • 文件大小:908
    • 提供者:wang
  1. QPSK_VHDL

    0下载:
  2. VHDL语言的QPSK调制示范源码。很有参考价值-VHDL language QPSK modulation source model. Useful reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:665
    • 提供者:Kevin
  1. qpsk

    0下载:
  2. qpsk vhdl code ue to impelemented on fpga kits
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-23
    • 文件大小:6518
    • 提供者:ahmed
  1. ask

    0下载:
  2. VHDL语言编写的调制过程,其中包含了采样量化和编码的全部源代码-VHDL used for modulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1600
    • 提供者:李明
« 1 2 34 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com