CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - modulation vhdl

搜索资源列表

  1. DATA_16QAM_MAP

    0下载:
  2. 用于WLAN 802.11a的OFDM发射机的数字调制16QAM-For WLAN 802.11a transmitter of the OFDM digital modulation 16QAM
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-30
    • 文件大小:1166
    • 提供者:
  1. fangz

    1下载:
  2. 数字通信系统的仿真,包括调制解调,上下变频,加入了高斯白噪声,并且每个步骤都生成相应的图形-Digital communication system simulation, including the modulation and demodulation, the upper and lower frequency, by adding Gaussian white noise, and each step generates the appropriate graphics
  3. 所属分类:DSP program

    • 发布日期:2017-02-08
    • 文件大小:34577
    • 提供者:maominchao
  1. VHDL

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行调制,仿真和设计-VHDL hardware descr iption language based on the base-band signal modulation, simulation and design
  3. 所属分类:Graph Drawing

    • 发布日期:2017-04-10
    • 文件大小:1453
    • 提供者:vivi
  1. Study_on_Key_Technologies_of_n4-DQPSK_Modulation_a

    0下载:
  2. 本文首先研究可4一DQPsK调制解调系统中调制部分的基本原理和各个模块的设计方案,重点研究成形滤波器和直接数字频率合成器 (DireetoigitalFrequeneySynihesis,简称DDS),并针对各个关键模块算法进行matlab设计仿真,展示仿真结果。其次,研究调制解调系统解调部分的基本原理和各个模块的设计方案,重点研究差分解调,数字下变频和位同步算法,也针对其各个关键模块进行算法的Matlab设计仿真。然后用Matlab对整个系统进行理论仿真,得出结论。在此基础 上,采用超高速
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5458230
    • 提供者:cai
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. signal

    0下载:
  2. 本例实现了一个FSK/PSK信号调制通信系统。通过FPGA平台上的按键控制,可分别产生FSK和PSK波形。-This example implements a FSK/PSK modulation communication systems. FPGA platforms through key control, FSK and PSK waveforms are generated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:27218
    • 提供者:周志法
  1. an501_design_example

    0下载:
  2. 在MAX2系列CPLD上实现脉冲宽度调制(PWM),完整的设计成程序和仿真结果。-In the MAX2 series CPLD to realize pulse width modulation (PWM), a complete design and simulation results into the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259188
    • 提供者:王志慧
  1. dayin

    0下载:
  2. 该程序利用vhdl语言,采用查表法实现am调制,此方法简洁又有效-The program using vhdl language, using look-up table method to achieve am modulation, this method is simple and effective
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:66578
    • 提供者:rain
  1. qpsk

    0下载:
  2. 实现qdpsk数字调制,应用的是相位选择法进行调制-Achieve qdpsk digital modulation, the phase selection method is applied to modulate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:3159
    • 提供者:king
  1. 2-ask

    0下载:
  2. 2-ASK调制解调的FPGA实现。ASK-TWO为调制程序,two-ASK为解调程序。-2-ASK modulation and demodulation of the FPGA. ASK-TWO for the modulation process, two-ASK for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1238
    • 提供者:张维
  1. 2-fsk

    1下载:
  2. 2-fsk调制解调的fpga实现。two-fsk为调制程序,fsk-two为解调程序。-2-fsk modulation and demodulation of fpga implementation. two-fsk for the modulation process, fsk-two for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1261
    • 提供者:张维
  1. ofdm

    0下载:
  2. ofdm调制解调的fpga实现。使用Verilog实现IEEE 802.16a系统的调制解调模块。-ofdm modulation and demodulation of fpga implementation. Verilog implementation using IEEE 802.16a system, modem module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1752
    • 提供者:张维
  1. QPSK_modulator_demodulator

    0下载:
  2. Wireless_Communication_FPGA设计代码之一:QPSK调制解调的FPGA实现 将相应的源文件复制到本地硬盘上,修改属性为可写,然后在ISE环境中新建工程,然后添加相应的源文件即可。-Wireless_Communication_FPGA one of the design code: QPSK modulation and demodulation of the FPGA to achieve the corresponding source files to loc
  3. 所属分类:Compiler program

    • 发布日期:2017-04-01
    • 文件大小:842
    • 提供者:松松
  1. ADPCMCodec

    0下载:
  2. The DVI Adaptive Differential Pulse Code Modulation (ADPCM) algorithm was first described in an IMA recommendation on audio formats and conversion practices [1]. ADPCM is a transformation that encodes 16-bit audio as 4 bits (a 4:1 compression ratio).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:439688
    • 提供者:stefanescul
  1. Universal_Asynchronous_Receiver-Transmitter

    0下载:
  2. 可以实现二进制振幅键控、二进制频移键控和二进制相位键控的解调和调制功能。-Can achieve binary amplitude shift keying, binary frequency shift keying and binary phase shift keying demodulation and modulation functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:632457
    • 提供者:太阳之神
  1. msk

    0下载:
  2. msk调制verilog HDL 实现,对学习微电子的人很有帮助-msk modulation verilog HDL to achieve, people very helpful in learning Microelectronics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:978
    • 提供者:李博
  1. bpsk

    0下载:
  2. 基于FPGA的BPSK数字调制器的实现,对于学习通信专业的人应该有些帮助-FPGA-Based Digital Modulator BPSK, for people to learn communication professional should be some help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:432035
    • 提供者:李博
  1. VHDL-ASK

    0下载:
  2. 基于VHSL语言的的ASK调制与解调,用max+plus进行了仿真及分析-VHSL language based on the ASK modulation and demodulation, with max+ plus simulation and analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:239968
    • 提供者:文文
  1. gmsk_2

    1下载:
  2. 实现2M数据速率的GMSK调制,时钟频率20M,2分频后作为移位寄存器-2M data rate to achieve the GMSK modulation, the clock frequency of 20M, 2 minutes after a shift register frequency
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:598240
    • 提供者:杨勇
  1. cFFT

    0下载:
  2. CFFT is a radix-4 fast Fourier transform (FFT) core with configurable data width and a configurable number of sample points in the FFT. Twiddle factors are implemented using the CORDIC algorithm, causing the gain of the CFFT core to be differen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:183637
    • 提供者:Nagendran
« 1 2 3 4 5 67 8 9 10 11 ... 14 »
搜珍网 www.dssz.com