CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - quartus

搜索资源列表

  1. EDA1

    0下载:
  2. 掌握Quartus II 的VHDL 文本设计的全过程; (2)熟练和掌握EDA设计流程;熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果。 (3)学习PH-1V型实验装置上发光二极管和按键的使用方法。 -Quartus II VHDL text grasp of the whole process of design (2) skilled and master the EDA design flow familiar with the simple combinat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:42486
    • 提供者:维吉尔
  1. EDAmusicplayer

    0下载:
  2. EDA乐曲播放器,在EDA开发工具Quartus II 6.0平台上,采用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,预先定制乐曲,实现动态显示乐曲演奏电路的设计-EDA music player
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:117079
    • 提供者:维吉尔
  1. MIMASUO

    0下载:
  2. 伴随着集成电路(IC)技术的发展,EDA技术已经成为现代电子设计的发展趋势,并在各大公司、企事业单位和科研教学部门广泛使用。VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。本文阐述了EDA的概念和发展、VHDL语言的优点和语法结构并分析讲解了智能抢答器的各模块的功能要求、基本原理以及实现方法。本系统的设计就是采用VHDL硬件描述语言编程,基于Quartus II平台进行编译和仿真来实现的,其采用的模块化、逐步细
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:179213
    • 提供者:RONG
  1. FSK

    0下载:
  2. FSK调制与解调,用VHDL语言实现,在QUARTUS软件运行-FSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:52971
    • 提供者:岁月
  1. bch_encode

    0下载:
  2. this bch encoder verilog code-this is bch encoder verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1610
    • 提供者:rakhi
  1. tutorial_quartus

    0下载:
  2. this is a quartus tutorial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:578375
    • 提供者:samtab
  1. sys_cpt

    0下载:
  2. 10.0 quartus 的破解文件,把这个文件替换就可以了 -10.0 quartus the crack file to replace the file on it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:333951
    • 提供者:钟阳
  1. license

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:8425
    • 提供者:sun
  1. CPU

    0下载:
  2. 一个完整的流水CPU设计,quartus平台,Verilog实现-CPU design a complete water, quartus platform, Verilog realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1100704
    • 提供者:
  1. crc16_finished

    0下载:
  2. 使用Quartus II软件开发,编程语言为Verilog,实现的是FPGA源代码-Using the Quartus II software development, programming languages Verilog, FPGA source code to achieve the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1715756
    • 提供者:冰色火焰
  1. DSP_Builder_user

    0下载:
  2. dsp_builder使用方法 教你如何利用matlab和quartus交互使用定制dsp-dsp_builder teach you how to use matlab and dsp quartus interactive use of customized
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6014475
    • 提供者:王程序
  1. Quartus_II

    0下载:
  2. 中文版的quartus官方教程 很方便学习
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7384029
    • 提供者:deniswan
  1. S1_12864lcd

    0下载:
  2. FPGA实用程序,测试lcd12864,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility, test lcd12864, development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:373607
    • 提供者:陆泉
  1. S8_SETPMOTO

    0下载:
  2. FPGA实用程序,测试步进电机,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility, the test motor, development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:389746
    • 提供者:陆泉
  1. S5_KEY2LED

    0下载:
  2. FPGA实用程序,测试key与led,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility, test key and the led, the development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:201088
    • 提供者:陆泉
  1. baseband_code

    0下载:
  2. 利用VHDL硬件语言编写了常用的基带码的产生,Quartus ii 仿真通过。-Written by VHDL hardware language code commonly used in the generation of baseband, Quartus ii simulation pass.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1249
    • 提供者:kai
  1. Binary.code.Gray.code.converter

    0下载:
  2. 二进制码格雷码转换器 进行二进制码格雷码转换,vhdl,QuartusⅡ-Binary code Gray code converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:25494
    • 提供者:duopk
  1. Counter

    1下载:
  2. 计数器 QuartusⅡ 10进制计数器 CLKIN为时钟输入端,CLR为清零端,Y[3..0]为四位二进制输出(BCD 码形式),CLKOUT为10进制计数器进位输出端 -Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:29703
    • 提供者:duopk
  1. clock

    0下载:
  2. 多功能数字钟,、在Quartus 2环境中编译通过; 4、仿真通过并得到正确的波形; 5、给出相应的设计报告 -clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19679869
    • 提供者:戎江霁
  1. AMI_HDB3

    0下载:
  2. VHDL实现AMI码和HDB3码之间的相互转换,编译环境为Quartus II 6.1-HDB3 AMI code and VHDL code to achieve conversion between, the build environment for the Quartus II 6.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:48126
    • 提供者:冯进伟
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com