CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - ram test

搜索资源列表

  1. ramchip

    0下载:
  2. vhdl code for ram design test bench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:601
    • 提供者:majid
  1. FM24cl04

    0下载:
  2. 使用硬件I2C对铁电存储器进行操作,利用中断方式操作。 向FM24CL04中0x03地址写入100字节数据,并检验,如果检验成功则蜂鸣器响一下,否则不断报警 铁电FM24CL04,封装和普通的E2PROM一致,读写方式和E2PROM均采用I2C总线,和E2PROM不同的是 铁电存储器可以当成是RAM来使用,可以说是串行的RAM,可以随机的读写-Use hardware I2C operation of ferroelectric memory, the use of i
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:33769
    • 提供者:洪振斌
  1. 6soft_247MHz_channel

    0下载:
  2. lte上行信道解交织解复用: RTL: ack_addr_gen.vhd ack地址产生 data_addr_gen.vhd 数据地址产生 de_interl_mux_con_ctrl.vhd 控制单元 de_interl_mux_con_top.vhd 顶层 de_interl_mux_con_tt.vhd 测试平台 de_mux_ram.vhd ram deinterl_pack.vhd 变量定义 delay.vhd 延迟 delayb.vhd 延迟
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:200642
    • 提供者:renliang
  1. zifuchuan

    0下载:
  2. 把长度为10H的字符串从内部RAM的输入缓冲区inbuf向位物外部RAM的输出缓冲区outbuf传送,一直进行到遇见回车或整个字符串传送完毕。试编程实现-The length of the string from the internal RAM 10H input buffer inbuf bit outside of the RAM to the output buffer outbuf transfer, has been carried out to meet the return or
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-23
    • 文件大小:2458
    • 提供者:周洁路
  1. tstSRAM

    0下载:
  2. 测试STC系列片外ram大小,如果ram溢出,即地址访问出错,串口输出数据是未定义的。-STC Test series outside the ram size, if the ram overflow error that address access, serial output data is undefined.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1506
    • 提供者:liwenwen
  1. I2C

    0下载:
  2. 单片微机的P1.0(SCL)和P1.1(SDA)两根I/O口线构成虚拟I2C总线,扩展串行EEPROM芯片24C02。对扩展的串行数据存储器24C02进行自检,若每一单元读/写都正确,则把片内RAM中20H单元内容清0,否则置20H内容为FFH。-80C51 single-chip microcomputer using P1.0 (SCL) and P1.1 (SDA) two I/O port lines constitute a virtual I2C bus, extended seri
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:870
    • 提供者:huang
  1. usbPflashPtouchPtftPram_COCO

    0下载:
  2. usb+flash+touch+tft+ram综合测试_-usb+ flash+ touch+ tft+ ram Integrated Test _COCO
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-10
    • 文件大小:1345315
    • 提供者:jljj
  1. ntier_test

    0下载:
  2. 本测试程序采用框架中多层核心组件编写,演示了操作数据的各种那个功能,以及程序性能。服务器使用的是2M的ADSL动态IP网络的普通电脑,配置为I5处理器,4G内存,WIN7旗舰版。由于2M的ADSL做服务器上传速度只有最大50K/s所以会大幅影响速度。 在这个服务器环境下,单一客户端检索1000条数据大概要2秒,做多客户端并发下,会大幅度提高速度。如果服务器换专用托管的服务器,10M共享带宽下,单一客户端检索1000条数据大概在400毫秒左右。 -The test program uses
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-05-20
    • 文件大小:5748465
    • 提供者:小李
  1. General-memory-VHDL-code-library

    0下载:
  2. 通用存储器VHDL代码库。fifo,ram寄存器的代码和测试模块。-General-purpose memory VHDL code base. fifo, ram register code and test modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:23487
    • 提供者:周鑫
  1. fft

    0下载:
  2. This a vhdl code written to compute fft for the values stored in a RAM. The fft values are stored in bit reversed order finally in the same RAM. Not sure if it is working 100 . For my test input it worked.-This is a vhdl code written to compute fft f
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-28
    • 文件大小:1254
    • 提供者:rsa
  1. FLASH

    0下载:
  2. DSP2407应用外部FLASH来进行调试或者使用外部RAM调试的例程分析比较。-DSP2407 USE FLASH TO TEST,VERY USEFUL FOR THE NEWER!PLEASE STUDY CAREFULY.AAA CCC BBB SSS SS DDD DDD V V .EWQEWQ DSDS DWDSD WDEWQEW WEWE WEEW ERWRWE EERWE EWEFE RYJ RGTRHT RGRGB TGTYU
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:7158
    • 提供者:王燕红
  1. AdualportramtT

    0下载:
  2. 采用两片AT89S51对双口RAM IDT7132测试试程序,采用串口助手显示接收内容。, -Using the two AT89S51 dual-port RAM the IDT7132 test pilot program, the serial assistant receive content. ,
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:8127
    • 提供者:tianmanglian
  1. dualram

    0下载:
  2. 本文件给出了一种双口RAM的代码,开发语言为verilog。测试可用,欢迎下载-This document gives a dual-port RAM code verilog development language. Test is available, welcome to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:709
    • 提供者:秦艳召
  1. fft1

    0下载:
  2. 快速傅立叶变换(来源《C常用算法集》) 本函数测试OK,可以在TC2.0,VC++6.0,Keil C51测试通过 如果你的MCS51系统有足够的RAM时,可以验证一下用单片机处理FFT有多么的慢。-Fast Fourier Transform (Source C commonly used algorithm sets) the function test OK, TC2.0 VC++6.0 the Keil C51 test by If the the MCS51 system yo
  3. 所属分类:Algorithm

    • 发布日期:2017-11-22
    • 文件大小:1292
    • 提供者:zhou.l
  1. 2440test_keil

    0下载:
  2. mini2440 从ADS移植到keil4 测试代码,用j_link直接调试通过,有RAM和FLash两种环境-mini2440 transplant from ADS to keil4 test code, debugging through with j_link directly RAM and FLash environment
  3. 所属分类:uCOS

    • 发布日期:2017-11-08
    • 文件大小:9910354
    • 提供者:刘山虎
  1. DEC6713_krsys

    0下载:
  2. CPLD写数进RAM,从DSP读数,测试通讯-CPLD write a number into the RAM, the readings from the DSP test communications
  3. 所属分类:DSP program

    • 发布日期:2017-11-20
    • 文件大小:522934
    • 提供者:桃李
  1. suspend_test

    0下载:
  2. Suspend to RAM and standby test facility for linux.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-11-24
    • 文件大小:2186
    • 提供者:tingpaoveng
  1. mmu.tar

    0下载:
  2. 嵌入式ARM的mmu操作示例。设置MMU使得外部SDRAM可用,然后对比led测试程序在内部RAM和外部RAM上的运行速度。-A mmu operation demo for embedded arm. This program set the mmu of arm and make external SDRAM usable, then we compare the excutting speed of a led test program between internal SRAM and
  3. 所属分类:Embeded Linux

    • 发布日期:2017-11-15
    • 文件大小:3485
    • 提供者:杨海舟
  1. LED_Test

    0下载:
  2. LED_Test实验 === === === === === === === === === === === === === === === = 本实验实现对四型箱主板上的四个LED灯进行控制。本例程有两种运行方式。 LED_Test IN RAM 将程序下载到SDRAM中调试。 LED_Test IN Flash 将程序烧写到Nor Flash中。上电自动运行。 ========================================================
  3. 所属分类:SCM

    • 发布日期:2017-11-16
    • 文件大小:200516
    • 提供者:
  1. 5.4_UART_Test

    0下载:
  2. UART_Test实验 === === === === === === === === === === === === === === === = 本实验实现监视串行口UART0动作,并从UART0接收到的字符串回送显示。本例程有两种运行方式。 UART_Test IN RAM 将程序下载到SDRAM中调试。 UART_Test IN Flash 将程序烧写到Nor Flash中。上电自动运行。 ========================================
  3. 所属分类:SCM

    • 发布日期:2017-11-23
    • 文件大小:210933
    • 提供者:
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com