CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - sine table

搜索资源列表

  1. filter

    0下载:
  2. 数控振荡器用于产生可控的正弦波或余弦波。其实现的方法是查表法-CNC controlled oscillator used to generate the sine or cosine wave. Approach is the realization of look-up table method
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-23
    • 文件大小:135331
    • 提供者:司令
  1. sin51

    0下载:
  2. 51单片机产生正弦波 下列数据是我计算出来的正弦函数在0~90度区间的数值(0~128),可以用于8位DA输出,把这个数据表存 进去查表就行了,其他角度做点儿简单的计算就行了。-51 single-chip generated sine wave data is the following, I calculated the sine function in the 0 ~ 90 degrees of numerical range (0 ~ 128), can be used for
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:980
    • 提供者:yangziyan
  1. vec_table

    0下载:
  2. 使用查表法实现正弦波的产生,用于CCS仿真,汇编-Sine look-up table method used to achieve the production of CCS for simulation, compilation
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:3697
    • 提供者:袁昊
  1. SINV1.4

    0下载:
  2. 计算正弦余弦表,可用作数字合成时的正弦表(DDS)-Calculate sine cosine table can be used as DDS
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:7936
    • 提供者:xumin
  1. tone

    0下载:
  2. This example uses the AIC23 codec module of the 6713 DSK Board Support Library to generate a 1KHz sine wave on the audio outputs for 5 seconds.The sine wave data is pre-calculated in an array called sinetable. The codec operates at 48KHz by default.
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:117666
    • 提供者:xpert
  1. 18

    0下载:
  2. 汇编语言产生的查表法实现正弦波,锯齿波,方波,三角波发生器的程序-Assembly language generated by look-up table to achieve a sine wave, sawtooth wave, square wave, triangle wave generator program
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:861
    • 提供者:Tr
  1. sin

    0下载:
  2. 在51单片机中实现正弦波的发生。采用查表的方法实现。产生5HZ的正弦波。-51 SCM in place to implement a sine wave. Achieved using look-up table method. Sine wave generated 5HZ.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:746
    • 提供者:yinxiong
  1. C_and_Matlab_voice_output_c8051f330_DAC

    0下载:
  2. 利用C8051f330的DAC范例程序,实现语音输出;demo源程序做了小小的改动,再把语音数据填入原来的正弦表格;语音数据来源于wav文件,Matlab重新采样转换为C语言的0x**格式,导入TXT文件;ps:这个程序在我的baidu空间也有;huxuec-20101024-The DAC sample programs using C8051f330, voice output demo source code to do a minor change, then the sine of
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:5826
    • 提供者:huxuec
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. ROM

    0下载:
  2. Verilog sine的查找表,相信大家会用到-Verilog sine lookup table, I believe we will use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3277
    • 提供者:wuzhongpeng
  1. niub

    0下载:
  2. 正弦函数表的设计做正弦波发生器的也许能用到-Design of the sine function table can be used to do, perhaps, to the sine wave generator
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:3060
    • 提供者:微臣
  1. vibrating-rotator-experiment

    0下载:
  2. 用于高校学生 转子振动台轴跳动轨迹分析仿真实验。采用正交的具有相位差的两列正弦波来模拟传感器测到的X方向和Y方向的轴跳动。包括信号的时频分析,滤波处理,叠加还原和边界检验。参数可自定-For college students beat the rotor shaft vibration table trajectory analysis simulation. With orthogonal phase difference of two sine waves to simulate the s
  3. 所属分类:Education soft system

    • 发布日期:2017-03-29
    • 文件大小:82047
    • 提供者:
  1. PLD-LOGIC_SPWM

    0下载:
  2. 电子设计竞赛中获二等奖,在FPGA中实现的两路自然采样SPWM,原理图输入法设计,1024*八位正弦查找表,带FSK和ASK调制功能,频率范围8KHz~12KHz.-Electronic Design Competition second prize in the FPGA to achieve the two natural sampling SPWM, schematic design input, 1024* eight sine look-up table, with FSK and A
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2628003
    • 提供者:zlz
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. 3

    0下载:
  2. 用24c02产生正弦波的程序,采用查表法,把一个正弦波分为256个点。-Generated sine wave with 24c02 program, using look-up table, to a sine wave is divided into 256 points.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:2988
    • 提供者:小熊
  1. sin_wave

    0下载:
  2. 单片机正弦波码表生成软件 内含程序代码 测试以通过-SCM software includes sine wave generated code table to pass the test program code
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:2019948
    • 提供者:电视费
  1. DDS-program

    0下载:
  2. DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据 dds 频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-The chips mainly includes DDS frequen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:24819
    • 提供者:林春权
  1. fft_c

    0下载:
  2. 函数简介:此程序包是通用的快速傅里叶变换C语言函数,移植性强,以下部分不依 赖硬件。此程序包采用联合体的形式表示一个复数,输入为自然顺序的复 数(输入实数是可令复数虚部为0),输出为经过FFT变换的自然顺序的 复数.此程序包可在初始化时调用create_sin_tab()函数创建正弦函数表, -Function Descr iption: This package is a generic fast Fourier transform C language function
  3. 所属分类:Algorithm

    • 发布日期:2017-04-01
    • 文件大小:13067
    • 提供者:lu
  1. sin

    0下载:
  2. 功能简介: 这是一个通过读取正弦波表产生正弦波的小程序。最简单的验证方法即为:可以将DAC OUT端子的2号脚连接一个5~15K的电阻到地,通过示波器即可观察到正弦波。当然也可以采用DAC0832数据手册中的电压输出型接法进行实验。-Features: This is a sine wave generated by reading the table of sine wave applet. The simplest method of authentication is:
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:10578
    • 提供者:liu
  1. look-up-table-for-sine-wave-generation

    0下载:
  2. hi this an verilog codes-hi this is an verilog codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:16428
    • 提供者:praha
« 1 2 3 45 6 »
搜珍网 www.dssz.com