CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - uart VHDL

搜索资源列表

  1. uart_ise_vhdl

    0下载:
  2. fpga里实现 uart 经典 vhdl语言写的 ise工程文件-fpga implementation in vhdl language classic uart of ise project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:22263
    • 提供者:孙俪
  1. first_cpu

    0下载:
  2. nios ii cpu核,包含通用IO口、sdram、flash、uart-nios ii cpu、genernal io port、sdram、falsh、uart
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-31
    • 文件大小:13319470
    • 提供者:陆yong
  1. UART

    0下载:
  2. UART是一种广泛应用于短距离、低速、低成本通信的串行传输接口.由于常用UART芯片比较复杂且移植性差,提出一种采用可编程器件FPGA实现UART的方法, 实现了对UART的模块化设计.首先简要介绍UART的基本特点,然后依据其系统组成设计顶层模块,再采用有限状态机设计接收器模块和发送器模块,所有功能的实现全部采用VHDL进行描述,并用Modelsim软件对所有模块仿真实现.最后将UART的核心功能集成到FPGA上,使整体设计紧凑,小巧,实现的UART功能稳定、可靠. -UART is a wi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:38597
    • 提供者:徐明宝
  1. uart

    0下载:
  2. 基于FPGA的uart源代码,异步串行通信,vhdl书写的。-uart codes。write with vhdl.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:280889
    • 提供者:
  1. uart_receiver

    0下载:
  2. This UART Receiver interface C code Tested on Sparton 3 xilinx FPGA.-This is UART Receiver interface C code Tested on Sparton 3 xilinx FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:976
    • 提供者:bhagwan
  1. UART

    0下载:
  2. Hardware Design with VHDL Design Example: UART
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:53853
    • 提供者:j
  1. uart

    0下载:
  2. uart send resive module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1349
    • 提供者:rez
  1. UART

    0下载:
  2. A badic controller for the UART. It incorporates a -- transmit and receive FIFO (from Max+Plus II s MegaWizard -- plug-in manager). Note that no checking is done to see -- whether the FIFOs are overflowing or not. This strictly -- handles the
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:1648
    • 提供者:Viral
  1. CameraDriver

    0下载:
  2. This module use OV7620 digital camera on the 24-bit RBG (8:8:8) data and display that in RS232 uart interface
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-27
    • 文件大小:5412
    • 提供者:Joelmir J Lopes
  1. uart_module

    0下载:
  2. 实现精简的uart串口,格式起始位+8bit数据位+可配置的奇偶校验位+停止位-implement a smart UART interface
  3. 所属分类:Com Port

    • 发布日期:2017-04-06
    • 文件大小:3657
    • 提供者:hut
  1. miniuart.tar

    0下载:
  2. 用VHDL描述的简单UART接口,能正确实现简单的功能-VHDL descr iption with a simple UART interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5711
    • 提供者:elvis
  1. uart

    0下载:
  2. fpga内嵌入双向串行通讯口 传输波特率可变 可通过查询方式确定发送接收状态 内置256字节发送接收缓冲区 -serial communication
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:4869
    • 提供者:tianzhijun
  1. UARTcode

    0下载:
  2. 串口UART通用异步接收/发送器的VHDL 源代码-Serial UART code
  3. 所属分类:Com Port

    • 发布日期:2017-04-02
    • 文件大小:17251
    • 提供者:liuyinqiang
  1. uart16450

    1下载:
  2. uart 16450合集,xilin altera lattice-collection of uart controller 16450
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-11
    • 文件大小:822763
    • 提供者:jhv
  1. cp_uart_6

    0下载:
  2. 用CPLD驱动UART转USB芯片CP2102的verilog代码,与PC通信 包括CP2102的配置 驱动等-Using CPLD to drive the USB-UART CP2102 interface. verilog code, then communicate with PC, including the configuration and drivers, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:789
    • 提供者:vicky
  1. s7enable_send0x55_UART_9600

    0下载:
  2. 最简单的UART发送程序,vhdl编写,系统时钟40M,波特率9600,外Load有效(一个高脉冲)即向PC发送一个字节0X-UART to send the simplest procedures, vhdl prepared, the system clock 40M, baud rate 9600, outside the Load effective (a high-pulse) to the PC sends a byte 0X55
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:451135
    • 提供者:wangxue
  1. Uart

    0下载:
  2. Uart总线,VHDL语言,硬件描述语言源码-Uart bus, VHDL language, VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:10294
    • 提供者: 陳皇仁
  1. uart

    0下载:
  2. 用ALTERA的芯片做的多串口代码,内部做了3个通用串口,适合51 ARM等CPU,有完整的ALTERA工程和仿真波形-uart FOR ALTERA
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-07
    • 文件大小:1571639
    • 提供者:郭强
  1. uart

    0下载:
  2. uart-universal aynchronious reciever and transmitter used to connect the pc and fpga to pass the data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3545
    • 提供者:priya
  1. URAT_VHDL

    0下载:
  2. URAT VHDL程序与仿真,包括顶层程序与仿真,波特率发生器VHDL程序, UART发送器程序与仿真,UART接收器程序与仿真-URAT VHDL procedures and simulation, including the top-level procedures and simulation, VHDL program baud rate generator, UART transmitter and simulation program, UART receiver and simu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:32302
    • 提供者:葛棋棋
« 1 2 3 45 6 7 8 9 10 ... 22 »
搜珍网 www.dssz.com