CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - uart VHDL

搜索资源列表

  1. uart

    0下载:
  2. 基于FPGA的uart控制器,波特率可选,VHDL编程,Quartusii 6.0 平台,vhdl语言编程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5093955
    • 提供者:吕常智
  1. uart(Verilog)

    0下载:
  2. uart异步串口通信协议的源代码,用vhdl语言编写,并且有完整得测试文件
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11000
    • 提供者:阿军
  1. 一个简单的UART

    0下载:
  2. 采用VHDL编写的一个简单的UART-using VHDL prepared a simple UART
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3654
    • 提供者:陈旭
  1. UART vhdl代码

    0下载:
  2. 基于FPGA的异步串口通信
  3. 所属分类:VHDL编程

    • 发布日期:2008-12-01
    • 文件大小:42872
    • 提供者:hehe520
  1. 异步串行口

    0下载:
  2. 一种基于VHDL语言的实现计算机串行通信的简洁设计
  3. 所属分类:VHDL编程

    • 发布日期:2009-03-16
    • 文件大小:139969
    • 提供者:ouping@yahoo.cn
  1. VHDL语言的UART串行接口芯片设计程序清

    0下载:
  2. Uart 程序,用VHDL语言编写。
  3. 所属分类:VHDL编程

    • 发布日期:2009-11-19
    • 文件大小:8382
    • 提供者:hy2009
  1. CPLD的串口程序(VHDL)

    0下载:
  2. 在CPLD上实现UART,利用VHDL进行编程。
  3. 所属分类:系统编程

    • 发布日期:2009-01-03
    • 文件大小:746970
    • 提供者:greatlht
  1. uart全套vhdl程序

    0下载:
  2. uart全套vhdl程序 测试过,完全能用
  3. 所属分类:VHDL编程

    • 发布日期:2010-10-11
    • 文件大小:152543
    • 提供者:lbbaggio
  1. UART.rar

    0下载:
  2. 该程序是用VHDL编写的串口收发控制器程序,可以实现上位机和下位机之间的串口通信,The program is prepared to use VHDL serial transceiver controller procedures, can lower PC and the serial communication between
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:49871
    • 提供者:zhangkun
  1. uart.rar

    0下载:
  2. 基于vhdl的串口通信模块,即异步收发机,可实现单片机核fpga的收发串口通信,遵从rs232协议,已经调试过,很不错的资源,Vhdl-based serial communication module, that is, asynchronous transceiver can achieve single-chip transceiver nuclear fpga serial communication, rs232 to comply with the agreement, has be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:969
    • 提供者:郭帅
  1. UART

    0下载:
  2. 利用FPGA接受232芯片的串口数据,可以与PC进行串口通信-FPGA chip using the serial data received 232, serial communication with PC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1189778
    • 提供者:杨然
  1. uartvhdl

    0下载:
  2. VHDL语言实现的UART IP核,比较实用-VHDL language to achieve the UART IP core, more practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:412652
    • 提供者:蔡飞
  1. EP2C-SOURCE_CODE

    1下载:
  2. 有關於EP2C的一些程序(EX:I2C,FLASH,IRDA,MUSIC,LED,LIGHT,SRAM,UART,PS2,SPI )-EP2C on some of the procedures (EX: I2C, FLASH, IRDA, MUSIC, LED, LIGHT, SRAM, UART, PS2, SPI)
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-01
    • 文件大小:2994600
    • 提供者:鄧志明
  1. rs232_VHDL

    0下载:
  2. RS232 uart的VHDL实现,包括时钟分频(波特率产生),接收,发送-Implement of RS232 uart in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:35084
    • 提供者:connie
  1. uart_EP3C16_FIFO

    1下载:
  2. Verilog编写的串口RS232收发字符串程序,使用FIFO作为数据缓冲区,有效收发字符串长度为256字节,解决了利用串口调试工具与FPGA通讯只能收发单字节的问题.-Programs for uart/RS232, it can receive and transmit strings.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-10
    • 文件大小:6756352
    • 提供者:515666524
  1. HCIUART

    1下载:
  2. 蓝牙HCI—UART与并口的FPGA控制接口设计-Bluetooth HCI-UART and parallel port control interface of the FPGA design
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-30
    • 文件大小:102601
    • 提供者:陈臣
  1. UART

    0下载:
  2. URAT 部分VHDL源码 大家多多支持 哈哈 -VHDL source URAT part of U.S. support of Haha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:17397
    • 提供者:谢皓
  1. vhdl

    0下载:
  2. 经过验证的UART硬件描述语言(VHDL)代码,非常实用。-Verified UART hardware descr iption language (VHDL) code, very useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:11063
    • 提供者:zinger liu
  1. btm_communication

    0下载:
  2. 自己项目中用到的verilog UART程序。-Their own projects verilog UART procedure used.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-04
    • 文件大小:1462
    • 提供者:liujakie
  1. uart

    0下载:
  2. 通用穿行通信控制器,可以直接使用,在quartsII下开发-GM through communications controller, can be directly used in developing quartsII
  3. 所属分类:Com Port

    • 发布日期:2017-04-04
    • 文件大小:213130
    • 提供者:ltlt
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 22 »
搜珍网 www.dssz.com