CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vending machine

搜索资源列表

  1. hotel-system

    0下载:
  2. VFP的毕业设计,交换C++的毕业设计(饮料自动售货机系统)-VFP graduation design, the exchange of C graduate design (beverage vending machine system)
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:372712
    • 提供者:wu
  1. vhdlautosale

    0下载:
  2. 自动售货机VHDL实现 atmel公司的FPGA-vending machine company VHDL atmel FPGA
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:62214
    • 提供者:车恒川
  1. Sheriff_AutoSale

    1下载:
  2. 自动售药系统,类似自动售货机系统,触摸屏模式:VB6.0+ACCESS+windows2000;-vending medicine system, similar vending machine systems and touch-screen mode : ACCESS VB6.0 by Windows;
  3. 所属分类:数据库编程

    • 发布日期:2008-10-13
    • 文件大小:5614032
    • 提供者:sheriffyang
  1. vendor

    0下载:
  2. 此程序设计的自动售货机只销售两种罐装可乐,售价均为15元。顾客可以由两个不同投币孔分别投入3个五元硬币或2个10元硬币。一次交易只能买一罐,且自动找零。只要按下取消键就会马上无条件退币。-this procedure designed vending machine sales only two canned cola, both at 15 yuan. Customers can from two different coin Kong spent three five yuan coins
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:178240
    • 提供者:刘卫
  1. seller2

    0下载:
  2. 程序实现自动售货机的核心功能,设有3种价格的商品,可选择数量,有找钱功能。-program vending machine at the core function, with three kinds of commodity prices, the number of choice, having to function.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1929
    • 提供者:陆文娟
  1. VHDLauto.rar

    0下载:
  2. 自己变得自动售邮票机vhdl程序,仿真已通过,适合初学者参考。,Become their own stamp vending machine VHDL procedures, simulation has passed for beginners reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:325144
    • 提供者:zhangxin
  1. DEX_jiangjie.rar

    0下载:
  2. 自动售货机 dex通信协议 讲解 原创资料 我确定,Vending machine dex data communication protocol on the original I' m sure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:86448
    • 提供者:ronnie
  1. hw8.rar

    1下载:
  2. Verilog中经典的自动售货机的源代码,包含测试程序,Vending machine in the classic Verilog source code, including test procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:45716
    • 提供者:萧晓
  1. aaa

    0下载:
  2. 自动售货机vhdl程序,有波形仿真 quartus2-Vending machine vhdl procedures, simulation waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:143420
    • 提供者:Sjn
  1. PLC

    0下载:
  2. 关于PLC方面的编程,用于大学毕业设计,很实用 自动售货机的研究者应该下载一下-On the PLC' s programming, designed for university graduates, it is practical, researchers should download the vending machine about
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:476430
    • 提供者:zangwei
  1. soldervhdl

    0下载:
  2. 自动售货机的vhdl程序 在quartus环境下编译运行通过-Vhdl program for vending machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7026
    • 提供者:dreamy
  1. autoConter

    0下载:
  2. 基于quartusII的自动售票机电路图-Based on the vending machine circuit quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:12808
    • 提供者:Jady
  1. gx

    0下载:
  2. 这是一个关于自动售货机的C++类设计可能有一点问题希望大家看一看就当锻炼一下自己的能力-This is a vending machine on the C++ Type of design may have a little hope that we take a look at the issue of when the exercise on your own ability
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:52834
    • 提供者:xuyunjin
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 课程软件小组自动售货机系统需求分析初稿,供大家分享-Course software group vending machine system the preliminary needs analysis for everyone to share
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-30
    • 文件大小:25410
    • 提供者:baby
  1. 63535293shouhuoji

    0下载:
  2. 自动售货机软件测试。只能用1元和5角的硬币进行使用-Vending machine software testing. Can only be 1 yuan and 5 coins for the use of angle
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:50856
    • 提供者:我是我
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. sell

    0下载:
  2. 软件开发环境:ISE 7.1i 仿真环境:ModelSim SE 6.0 1. 自动售饮机 电话计费器程序-Software development environment: ISE 7.1i simulation environment: ModelSim SE 6.0 1. Drink vending machine telephone billing program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1111
    • 提供者:许毅民
  1. autoseller

    0下载:
  2. 自动售饮料机。用vhdl变写的自动售物品的程序。-Beverage vending machine. Writing vhdl variable with automatic procedures for the sale of goods.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:132093
    • 提供者:朱宏亮
  1. sell

    0下载:
  2. 自动售卖机的设计,有源代码,希望对大家有用-Vending machine design, source code, in the hope that useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:143446
    • 提供者:
  1. sel_stamp

    0下载:
  2. 可实现找钱功能的自动售邮票机,可买两种邮票,一元的和五角的-Money function can be realized stamp vending machine, to buy two stamps, one dollar and the Pentagon
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:840
    • 提供者:黄涛
« 1 2 3 4 5 67 8 9 10 11 ... 17 »
搜珍网 www.dssz.com