CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - verilog key

搜索资源列表

  1. key_denounce

    0下载:
  2. 按键消抖verilog源代码,包括实验说明书,清晰易懂. -this code is the verilog source code,which teach you how to filtrate the bump when the key being touched.
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:339746
    • 提供者:颜爱良
  1. iiscode

    1下载:
  2. 用Verilog写的一个简单的IIs控制器,分为clkgen时钟分频模块和transcon传输控制模块。其中transcon模块主要部分为一个有限状态机实现的满足IIS标准的输出。 另附一个简单的Testcase以及得到的波形。-Develop an iis controller with verilog hdl. The key parts of iis were departed in two. One is clkgen.v which generate the clk and syn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:605153
    • 提供者:hgdai
  1. 8bit_switch

    0下载:
  2. 用verilog实现按键扫描,并把按键扫描结果以类SPI的方式传给单片机。-Verilog implementation with key scan, and the key results of the scan the way to class to pass SPI MCU.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:292160
    • 提供者:guoling
  1. ps2verilog

    0下载:
  2. ps2的verilog程序,按键通过串口在串口终端上显示相应的按键-ps2 the verilog program, key in the serial console via the serial port to display the corresponding button
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:340286
    • 提供者:zhangjian
  1. FPGAandDSP_Builder.pdf

    0下载:
  2. 某重点大学内部培训verilog和hdl的手册(用于电子竞赛),非常详细具体,对于初学者来说有非常大的帮助-House training a key university and hdl verilog manual (for electronic contest), very detailed and specific, for the beginners have a very big help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3655070
    • 提供者:jl
  1. or1200_wb_ram_gpio_pll

    0下载:
  2. Quartus ii项目,硬件平台为SOPC2000,能实现LED的各种显示控制及按键输入。包括硬件实现的Verilog及软件实现的C实现。SOPC系统的设计在Windows的quaruts ii 8.0上实现,软件部分在Ubuntu上实现。-Quartus ii project, the hardware platform for SOPC2000, to achieve a variety of LED display control and key input. Including Ver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:637924
    • 提供者:陶宇
  1. music

    0下载:
  2. 通过按键操作使峰鸣器发出乐器声的Verilog HDL程序-Through key operation so that buzzer sound instruments issued by Verilog HDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:609735
    • 提供者:xiong
  1. keyscan

    0下载:
  2. verilog implementation of a key scanner
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:802
    • 提供者:prateek
  1. verilog_calculator

    0下载:
  2. 一个Verilog写的简易计算器。能进行二进制加减乘除运算,操作数通过按键输入并用数码管显示。当按下运算符号键后,计算器进行两个数的运算,数码管将结果显示出来。-A simple calculator written in Verilog. Binary addition and subtraction to multiplication and division, operating a few keystrokes and use digital display. When the pres
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:228530
    • 提供者:张立
  1. KeyeLiminateDithering

    0下载:
  2. 按键消抖Verilog程序,希望对大家有帮助!-Key debounce Verilog program, we want to help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:422425
    • 提供者:sun pei
  1. sw_led

    0下载:
  2. 利用verilog编写的一个按键控制LED灯的代码,包括按键消抖-Using verilog write a code key control LED lights, including key debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:365564
    • 提供者:李才
  1. motor_PWM

    0下载:
  2. 刚写的verilog 程序,控制直流电机正反转,具有严格的按键消抖函数,采用脉冲边沿检测法,防止误触发!-Just write verilog program to control the DC motor reversing, with strict key debounce function, pulse edge detection method, to prevent false triggering! ! ! Beginner EDA, if insufficient, please
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:302815
    • 提供者:谷向前
  1. VGA_COLOR_LINES

    0下载:
  2. 利用Verilog语言写的VGA彩条显示控制电路,显示器模式:1280X1024@60HZ.按下K1,K2键可控制彩条显示的模式-Use Verilog language is written VGA display control circuit, striped display mode: 1280 X1024 @ 60 HZ. Press the K1, K2 key can control the color display mode. Article
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:620387
    • 提供者:蒲公英
  1. key_debounce

    0下载:
  2. verilog实现的按键消抖源代码,初学fpga的可以学习下-implementation of key debounce verilog source code, beginners can learn from fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:349055
    • 提供者:flier
  1. -Elliptic

    0下载:
  2. We present elliptic curve cryptography (ECC) coprocessor, which is dual-field processor with projective coordinator. We have implemented architecture for scalar multiplication, which is key operation in elliptic curve cryptography. Our coproc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:117173
    • 提供者:陳曉慧
  1. _5_key_led_without_debounce

    0下载:
  2. verilog实例5 key_led_without_debounce 使用KEY控制LED亮灭,无按键消抖 (1)源文件 key_led.v (2)管脚分配 pins list.txt -5 key_led_without_debounce 使用KEY控制LED亮灭,无按键消抖 (1)源文件 key_led.v (2)管脚分配 pins list.txt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:54902
    • 提供者:李程序
  1. verilog_sw_led

    0下载:
  2. 采用verilog编写的FPGA程序,程序的功能是按键按键消抖,quartus II 开发。芯片型号是EP2C35F484C7,时钟50MHz。-FPGA verilog to write the program, the program function is the key button is debounced, quartus II development. The chip model is EP2C35F484C7, clock 50MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:412292
    • 提供者:muliubing
  1. PS2_keyboard_driver

    0下载:
  2. verilog写的键盘按键扫描接口,并在7段数码管上显示断码和通码,在LED流水灯上实现滚动显示。想学习PS2键盘扫描这块的童鞋可以下载看看,代码写的还行。-verilog to write a keyboard key scan interface displayed on the 7-segment digital tube broken code and pass code, scrolling display on the LED light water. Want to learn a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:498280
    • 提供者:
  1. Experiment03

    0下载:
  2. VERILOG 下 的 摁键 驱动 基于 黑金 开发板-The VERILOG under the pressed key driver development board based on black gold
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:445568
    • 提供者:吴春宇
  1. calculator

    0下载:
  2. 这是一个设计16位计算器,运用Verilog HDL语言编写,可以实现简单的加减法计算。并且可以在Xilinx91i上仿真。其中 top.v文件为目录,calculator.v为计算器设计,display.v为显示设计,divclk.v为分频设计,keypad.v为键盘设计,并且testkeypad.v为检测程序。-design a 16-bit calculator using the Spartan 3 FPGA on the Digilent circuit board, with an
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:13041
    • 提供者:wangdage
« 1 2 3 4 5 67 8 9 »
搜珍网 www.dssz.com