CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 分频

搜索资源列表

  1. fenpin

    0下载:
  2. 本程序是用VHDL语言,非整数分频的一个实现, fenpin.vhd为主程序-this procedure is used VHDL, non-integer frequency of a realization of the main procedures fenpin.vhd
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3406
    • 提供者:陈云松
  1. clk_div3

    0下载:
  2. vhdl语言写的基数分频器,多平台,通过MODESIM仿真-vhdl language to write the base dividers, multi-platform, through simulation MODESIM
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:39431
    • 提供者:xiaoshichang
  1. compDIVIDER

    0下载:
  2. 基于VHDL语言描述的一个分频器,根据端口值,可作为四分频,八分频等分频器使用。-based on VHDL descr iption of a divider, according to port value, as a quarter of frequency, Frequency Divider interval such use.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1396
    • 提供者:djksdf
  1. spant

    0下载:
  2. 一个在spantan3上实现的24路分频VHDL程序,实现方法简单,并且在硬件电路上跑过,可以直接使用。可以进一步修改成PWM程序。-a spantan3 achieved in the 24-way frequency VHDL procedures, simple, and the hardware circuits once ran can be used directly. Can be further modified as PWM procedures.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1543
    • 提供者:林海
  1. byvhdstopwatchl

    0下载:
  2. 1.高精度数字秒表(0.01秒的vhdl语言实现) 2.具有定时,暂停,按键随机存储,翻页回放功能; 3.对30M时钟分频产生显示扫描时钟 4.精度高达0.01s,并且可以通过改变主频来更改分频比和记数间隔,可控性高。 5.模块化设计,其中的许多函数可以成为vhdl语言的通用经典例子(包含分频电路设计,动态扫描时钟设计,译码电路设计,存储器设计,存储回放显示设计)-1. High-precision digital stopwatch (0.01 seconds vhdl la
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1995
    • 提供者:方周
  1. feizhenshu

    0下载:
  2. 非整数分频器 分频系数为无限不循环小数 vhdl-non-integer frequency divider coefficient of circulator is not unlimited vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1828
    • 提供者:那锋
  1. clk_div2n

    0下载:
  2. 这是用VHDL 语言编写的参数可以直接设置的2n倍时钟分频器,在运用时,不需要阅读VHDL源代码,只需要把clk_div2n.vhd加入当前工程便可以直接调用clk_div2n.bsf。-This is the VHDL language parameters can be directly installed 2n times the clock dividers, when exercising not reading VHDL source code, clk_div2n.vhd simp
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1588
    • 提供者:谢光华
  1. renyizhengshufenpingdeVHDLdaima

    0下载:
  2. 本文件是实现任意整数分频的VHDL代码,愿与大家分享!-this document is arbitrary integer frequency VHDL code, and is willing to share with you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1097
    • 提供者:少华
  1. jicifenpinqi

    0下载:
  2. 别人编写的奇次分频器,用VHDL写的,我已经在QUARTUS上验证过了-others prepared by the odd dividers, VHDL write, I have QUARTUS tested the
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:28937
    • 提供者:yuxyoo
  1. jhvhjhk

    0下载:
  2. 乒乓球游戏机实验报告实验人: 大火虎设计课题: 用VHDL设计一个乒乓球游戏机,用开关来摸拟球手及裁判,用LED来模拟乒乓球,采用每局十一球赛制,比分由七段显示器显示. 设计思路: 采用按功能分块,将整个电路分成若干子程序,利用不同的子程序来实现记分,显示,键盘控制。设计过程: 1) 对4MHZ信号进行分频,得到所需的1HZ,及七段显示器所需的频率.存为CLOCKMAKE.VHD(注:仿真时所加的信号频率比这要高。)。 2) 设计一个子程序来描述裁判,左击球手,右击球手的动作对LED显示的影响,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1120
    • 提供者:slw
  1. my_design_frequency

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号是最重要的信号之一。 下面我们介绍分频器的 VHDL 描述,在源代码中完成对时钟信号 CLK 的 2 分频, 4 分频, 8 分频, 16 分频。 这也是最简单的分频电路,只需要一个计数器即可。-in digital circuits, and often the need for higher frequency for the clock frequency operation, th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:卢吉恩
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Generator 7.4 Practical multi-functi
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. ndivider

    0下载:
  2. VHDL源代码实现任意个分频,值得推荐学习-VHDL source code to achieve arbitrary sub-frequency, it is worth learning recommended
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:96701
    • 提供者:陈度甫
  1. N_counter_VHDL

    0下载:
  2. 任意N进制分频器的标准VHDL代码(原创)-arbitrary N divider 229 standard VHDL code (original)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1021
    • 提供者:汤维
  1. even_divider_VHDL

    0下载:
  2. 常用2、4、6及任意偶数分频器的VHDL代码实现(原创)-used 2,4,6 and even arbitrary divider VHDL code to achieve (original)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1182
    • 提供者:汤维
  1. odd_divider_VHDL

    0下载:
  2. 常用1、3、5及任意奇数分频器的VHDL代码实现(原创)-used 1,3,5 and arbitrary odd Divider VHDL code to achieve (original)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1537
    • 提供者:汤维
  1. VHDLEXAMPLEppt

    1下载:
  2. 介绍8位加法器、分频电路、数字秒表的PPT,带源码,解释详细,一步一步学习,是学习VHDL的好-introduced eight Adder, the frequency divider circuit, digital stopwatch, the PPT, with the source code, explained in detail, step by step, learning, VHDL is a good learning Eastern
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:527607
    • 提供者:刘一
  1. 070330

    0下载:
  2. VHDL三分频程序 VHDL三分频程序-VHDL third frequency procedures VHDL third frequency procedures VHDL third frequency procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:951
    • 提供者:沈旭东
  1. 52_divider

    0下载:
  2. 分频器,用VHDL语言编码,可能对你用处不是很大,但做为参考还是很大用处的-dividers, VHDL coding, you may not have much use, but as a reference or very useful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1439
    • 提供者:jinlong
  1. Odd_Fren

    0下载:
  2. 一个3分频的VHDL程序,方便学习且仅供学习之用-a frequency of three minutes VHDL procedures, facilitate learning and learning purposes only
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:921
    • 提供者:MINGER
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 26 »
搜珍网 www.dssz.com