CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 分频

搜索资源列表

  1. Q7230

    0下载:
  2. PLD-N分频程序,使用时可以任意修改(VHDL)-PLD --N procedures can be arbitrary use of Laws (VHDL)
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:190874
    • 提供者:C51
  1. 32fenpinqi

    0下载:
  2. 这是用VHDL语言写的32位分频器的程序,可直接运行,看结果,欢迎使用。多指正,交流。-This is written in VHDL 32 dividers procedures can be run directly see the results, welcomed the use. More correct exchange.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12693
    • 提供者:刘彦平
  1. clk_divide_3

    0下载:
  2. VHDL语言编写三分频,可以扩展实现任意奇数-VHDL prepared three frequency can be extended to achieve arbitrary odd
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125177
    • 提供者:利津候
  1. freqcntr

    0下载:
  2. 分频器 几次分频欧次分频 vhdl 语言实现-several hours, frequency dividers Europe subregional frequency VHDL Language
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8401
    • 提供者:hjj
  1. FPGAprogram2

    0下载:
  2. 半整数分频器电路的VHDL源程序,供大家学习和讨论。 -half-integer frequency divider circuit VHDL source code for all learning and discussion.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3379
    • 提供者:许嘉
  1. 399

    0下载:
  2. 用VHDL编写的8位全加器,数字分频器等程序-VHDL prepared by the eight All-Canadian, digital dividers procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:570095
    • 提供者:骷髅
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. clk_div_16

    0下载:
  2. 利用VHDL语言编写的一个16分频器,另外可以在程序中修改为任意2N的分频器-use VHDL prepared a 16 dividers, Also in the revision process to be arbitrary 2 N Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:25501
    • 提供者:黎飞飞
  1. N-0.5fenpinqi

    1下载:
  2. vhdl N-0.5分频方法设计,可以输入任意数值N,即分得到N-0.5的频率。-vhdl N - 0.5-frequency method, we can input arbitrary numerical N, namely, to be N - 0.5 frequencies.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4509
    • 提供者:孔标
  1. taxiwork

    0下载:
  2. 介绍了基于FPGA的多功能计程车计价器的电路设计。该设计采用了可编程逻辑器件FPGA的ASIC设计,并基于超高速硬件描述语言VHDL在Xilinx公司的SpartanⅡ系列的2sc200PQ208-5芯片上编程实现了整个系统的控制部分,整个自动控制系统由四个模块构成:秒分频模块、控制模块、计量模块和译码显示模块。该设计不仅仅实现了显示计程车计费的功能,其多功能表现在它可以通过选择键选择显示计程车累计走的总路程和乘客乘载的时间。计时、计程、计费准确可靠,应用于实际当中有较好的实用价值和较高的可行性
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:9090
    • 提供者:柑佬
  1. piano

    0下载:
  2. 电子琴 原创 作业 VHDL 采用计数器分频,内含简单儿歌数首,爱迪克EDA实验箱,有数码管与LED显示,采用键盘式输出,两行,中音高音。(Electronic piano original work VHDL, using counter frequency division, contains a few simple nursery rhyme, Edik EDA experimental box, there are digital tube and LED display, usin
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1101824
    • 提供者:qengleikangjen
  1. VHDLDIV

    0下载:
  2. 文档里面的程序是用VHDL编程的分频程序,是将12MHZ的频率分频为1HZ和1KHZ,当然,也可以修改成任意频率的分频程序。(Document inside the program is programmed with VHDL frequency division program, is the frequency of 12MHZ frequency is 1HZ and 1KHZ, of course, can also be modified to any frequency frequ
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:2591
    • 提供者:wangtao74177
  1. fen

    0下载:
  2. 分频器,可以实现时钟分频,频率变小则周期变长(Frequency divider, can realize clock frequency division, frequency becomes smaller, then the cycle becomes longer)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:140288
    • 提供者:佳12345
  1. Desktop4

    0下载:
  2. VHDL编写的分频器和数码管轮流点亮程序(VHDL shumaguan fenpinqi)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:1024
    • 提供者:西早
  1. LED_DISP

    0下载:
  2. 输入时钟4MHz,分频至1Hz,对时钟计数,LED显示输出,加使能EN和复位RST(Input clock 4MHz, frequency division to 1Hz, clock count, LED display output, add enable EN and reset RST)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1586176
    • 提供者:MmDawN
  1. fenpin4

    0下载:
  2. 使用fpga实现四分频,将单一频率信号的频率降低为原来的1/4。(Using FPGA to achieve four frequency division, the frequency of a single frequency signal is reduced to the original 1/4.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:90112
    • 提供者:新手玩家
  1. cnt4M

    0下载:
  2. 基于Quartus II 的VHDL语言编程实现的一个50M分频器(50M frequency divider)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:1614848
    • 提供者:xidianstudent
  1. 平方环VHDL实现

    1下载:
  2. 数字平方环电路,实现了从BPSK信号中提取相干载波的功能,简单易行,便于实现,并对其进行了数学推导和建模仿真,具有良好的实用价值。平方环以其电路结构简单而得到了广泛应用。但在平方环电路的设计中,由于NCO(或VCO)工作在2ωc频率上,当环路锁定后,其NCO(或VCO)的输出需经过二分频才能得到所需载波。而二分频电路在实现过程中,特别是在对NCO进行数字分频时,用FPGA实现太耗资源。
  3. 所属分类:VHDL编程

  1. plj

    1下载:
  2. 使用vhdl语言原件例化设计数字频率计,并用6位7段数码管计数。模块包括:十进制计数器,6位10进制计数器,Reg24 锁存器、Fp 分频器、Ctrl 频率控制器、Disp 动态显示。(The digital frequency meter is designed by using VHDL language as an example and counted by 6-bit 7-segment digital tube. Modules include: decimal counter, 6
  3. 所属分类:其他

    • 发布日期:2019-12-13
    • 文件大小:11264
    • 提供者:贵阳余文乐
  1. vhdl 播放器

    0下载:
  2. 利用分频器设计硬件乐曲演奏电路;通过开关实现乐曲的切换
  3. 所属分类:中间件编程

« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 26 »
搜珍网 www.dssz.com