CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 正弦波

搜索资源列表

  1. sin

    0下载:
  2. 正弦波发生器。用VHDL语言实现。基本功能。-sinusoid generator
  3. 所属分类:Wavelet

    • 发布日期:2017-04-10
    • 文件大小:653
    • 提供者:苏瑞君
  1. tlc5620_out_sin

    1下载:
  2. 用FPGA操纵TLC5620DA转换器,用VHDL语言编写,调试通过,并输出正弦波。-Manipulation TLC5620DA converter with FPGA using VHDL language, debugging through, and the output sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3899
    • 提供者:wangnan
  1. zhengxianbo

    0下载:
  2. 正弦波发生器,用VHDL实验,使用地址发生器和lpm_rom完成。-Sine wave generator, experiment with VHDL, use the address generator and lpm_rom completed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1127902
    • 提供者:liuxing
  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. wave_finish

    0下载:
  2. 基于quartus2的信号发生器,可产生正弦,三角,方波-Based quartus2 signal generator can produce sine, triangle, square wave. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1138714
    • 提供者:aaaajjjj
  1. bijiaoqi

    0下载:
  2. 比较器、加法器、pwm的VHDL描述 可以用作正弦变方波的器件 比较器经改变可做pwm-compare by vhdl ,use as changing sin to squart signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4920055
    • 提供者:王鹏
  1. boxingfasheng

    0下载:
  2. 三角波、正弦波、余弦波、方波的产生VHDL代码程序,可以根据自己的需要得到相应的波形。-Triangular wave, sine wave, cosine wave, square wave generated VHDL code program, according to their own needs the corresponding waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:467734
    • 提供者:yangxiao
  1. zhengxuanbo

    0下载:
  2. 产生正弦波的vhdl代码,输出显示波形标准,没有明显的波形失真。-Vhdl code for sine wave generation, the output waveform standards, no significant waveform distortion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:555010
    • 提供者:yangxiao
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. sinbo

    0下载:
  2. 基于quartus,用VHDL写的正弦波发生器-Based quartus, written in sine wave generator with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:996110
    • 提供者:郑生
  1. dds

    0下载:
  2. 基于VHDL语言,主要用于实现正弦波发生器-Based on VHDL language, mainly for the realization of sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1908
    • 提供者:碗豆
  1. jibenrenwu1

    0下载:
  2. 一个用vhdl语言写的简单输出正弦波的程序,适用于初学者-Vhdl language used to write a simple sine wave output of the program, for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1068035
    • 提供者:alice
  1. singt2048

    0下载:
  2. 正弦波信号发生器 VHDL-Sine wave signal generator sine wave signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1349504
    • 提供者:yan frank
  1. waveform_generator

    0下载:
  2. VHDL语言编写的波形发生器程序,可以产生方波、三角波、正弦波、锯齿波等波形-Waveform generator written in VHDL program that can generate a square wave, triangle wave, sine wave, sawtooth wave, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:617397
    • 提供者:ldong1989
  1. sin

    0下载:
  2. 基于VHDL硬件描述语言的正弦波利用Maxplus的仿真实例-VHDL hardware descr iption language based on the sine wave using the simulation Maxplus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:411545
    • 提供者:dongmei
  1. DDS

    0下载:
  2. dds实现正弦波vhdl dds宏功能模块 实现各种波形-sine vhdl dds dds achieve macro modules to achieve a variety of waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:522519
    • 提供者:里吗
  1. jiyuVHDLshizhongchengxu

    0下载:
  2. 基于VHDL的时钟、正弦波和方波实验报告-VHDL-based clock, sine and square wave experiment report
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:726523
    • 提供者:曾斌
  1. dds

    0下载:
  2. DDS数字函数信号发生器,采用VHDL编写,可以产生正弦波、锯齿波、三角波信号,信号的频率和相位都可调。-DDS Digital Function Generator using VHDL write, you can produce sine, sawtooth, triangle wave signal, the signal s frequency and phase are adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1163
    • 提供者:郝心情
  1. dds

    0下载:
  2. 这是个基于dds的正弦波发生器的程序,用vhdl语言编写, 希望对大家有用。 -This is a sine wave generator based on dds program, using vhdl language, want to be useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:694
    • 提供者:杜维轩
  1. design

    0下载:
  2. 基于vhdl的dds发生器,精度可达到1Hz,包含正弦波,三角波,方波-DDS based on VHDL,display resolution 1Hz, include sine wave,triangular wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:400827
    • 提供者:海蓝漂流瓶
« 1 2 3 4 5 6 78 9 »
搜珍网 www.dssz.com