CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl dds

搜索资源列表

  1. DDS

    0下载:
  2. DDS正弦波形发生器,VHDL语言描述!-DDS sine waveform generator, VHDL language descr iption!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:881248
    • 提供者:吴江波
  1. dds

    0下载:
  2. VHDL的DDS代码,也就是直接数字式频率合成器设计-The DDS VHDL code, which is Direct Digital Frequency Synthesizer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3263
    • 提供者:quanguoxiang
  1. DDS

    0下载:
  2. 利用现场可编程逻辑门阵列FPGA实现直接数字频率合成(DDS)的原理,以及以DDS为核心的信号发生器。探讨DDS技术在FPGA中 的实现方法,提出采用ALTERA公司的FLEX系列FPGA芯片FLEX10K进行直接数字频率合成的VHDL源程序。-The use of field-programmable gate array FPGA to realize the principle of the direct digital frequency synthesis (DDS) DDS as t
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:3424569
    • 提供者:fml
  1. dds

    0下载:
  2. 是vhdl语言写的dds的部分代码,留下来,方便以后查看-The vhdl language written dds part of the code , to stay , convenient View
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1643
    • 提供者:
  1. dds

    0下载:
  2. 可以完成直接频率合成器功能的VHDL代码-VHDL code which can complete the function of Direct frequency synthesizer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:1358
    • 提供者:王明阳
  1. DDS

    0下载:
  2. FPGA,基于VHDL语言,用于ROM查找表的方式,实现DDS,能够输出正弦,方波,锯齿波,方波四种波形,可以改变幅值和频率。-DDS based on FPGA(VHDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:6305
    • 提供者:王芳
  1. dds

    0下载:
  2. vhdl代码。高精度dds,精度可以达到1hz。不需要多个时钟。-vhdl code. High precision the dds, accuracy can be reached 1hz. Does not require more than one clock.
  3. 所属分类:Other systems

    • 发布日期:2017-11-29
    • 文件大小:2501
    • 提供者:phoenix
  1. dds

    0下载:
  2. 基于FPGA,利用vhdl语言结合matlab工具实现dds,已经仿真-Based on FPGA, VHDL language with matlab tools to achieve DDS, has simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:3244785
    • 提供者:ljx
  1. dds

    0下载:
  2. FPGA中用VHDL语言实现的多种波形(正弦、余弦、三角、方波)调制。-modulation by FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:938175
    • 提供者:王臣
  1. DDS

    0下载:
  2. 基于DDS原理,利用VHDL语言进行正弦波、三角波、锯齿波、矩形波等波形的发生。包括完整代码和QUARTUS II工程。-Based on DDS principle, the use of VHDL, sine, triangle, sawtooth, square wave waveform occurs. Including the complete code and QUARTUS II project.
  3. 所属分类:Communication

    • 发布日期:2017-04-04
    • 文件大小:147836
    • 提供者:chuangfen
  1. DDS-VHDL

    0下载:
  2. DDS 源码开发程序,经过调试下载完成的代码,希望有用-you yong de promgramme
  3. 所属分类:source in ebook

    • 发布日期:2017-04-17
    • 文件大小:78685
    • 提供者:zhang
  1. DDSforsinandcos

    0下载:
  2. 用VHDL实现的DDS,可输出正弦、余弦波形。将所有文件放在一个工程文件里,再分别生存模块,按原理图连接及可-using VHDL DDS, output sine, cosine wave. All documents will be placed on a project document, respectively survival module, according to diagram and can link
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:7481
    • 提供者:suewit
  1. DDSforsinandcos

    0下载:
  2. 用VHDL实现的DDS,可输出正弦、余弦波形。将所有文件放在一个工程文件里,再分别生存模块,按原理图连接及可-using VHDL DDS, output sine, cosine wave. All documents will be placed on a project document, respectively survival module, according to diagram and can link
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:7520
    • 提供者:ntexpa
  1. DDS

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号发生器,。
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-17
    • 文件大小:4485169
    • 提供者:冯阳
  1. DDS

    0下载:
  2. 使用VHDL开发的DDS程序,简单实现,进行相关硬件配置后即可使用-You can use the DDS program developed using VHDL, simple implementation, related hardware configuration
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5889475
    • 提供者:guo
  1. dds

    0下载:
  2. FPGA所需要的DDS源码,可实现波形输出,采用VHDL语言,简单易懂。-FPGA need DDS source waveform output can be achieved using VHDL language, easy to understand.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:14755
    • 提供者:方文丹
  1. dds

    0下载:
  2. 基于vhdl 和DDS 的直接频率合成程序,并产生正弦波-Vhdl and DDS-based direct frequency synthesis process and generate a sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:326478
    • 提供者:刘诗男
  1. dds信号发生器

    0下载:
  2. dds正弦信号发生器源代码,适合处于学VHDL学生查阅
  3. 所属分类:VHDL编程

    • 发布日期:2014-12-12
    • 文件大小:205407
    • 提供者:asdfqing
  1. DDS

    0下载:
  2. 基于FPGA的数字信号合成器(DDS),采用VHDL语言编写,能够实现正弦波、三角波、方波、锯齿波这四种波形的产生。 提示:最后输出的模块是串行DA,可根据具体情况更改驱动。-Digital synthesizer (DDS) based on FPGA, using VHDL language, to achieve sine wave, triangle wave, square wave, sawtooth waveform generation four. Tip: The la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2800194
    • 提供者:康二栋
  1. DDS

    0下载:
  2. 基于直接序列合成的vhdl语言 基于直接序列合成的vhdl语言-Synthesis based on direct sequence vhdl language synthesis based on direct sequence vhdl language synthesis based on direct sequence vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:12868
    • 提供者:beyondall_zhao
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 16 »
搜珍网 www.dssz.com