CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl dds

搜索资源列表

  1. 51-DDS

    0下载:
  2. 不仅包含FPGA源码还包含51单片机控制源码,已经实现DDS功能,绝对原创。-Includes not only the FPGA source code also includes a 51 SCM control source, has been achieved DDS functions, absolutely original.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2184814
    • 提供者:张文琪
  1. Desktop

    0下载:
  2. DDS数字频率合成DDS由相位累加器、正弦查找表、D/A转换器和低通滤波器组成 -DDS DDS DDS from the phase accumulator, sine look-up tables, D/A converter and low-pass filter composed of
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:1256
    • 提供者:chenxiaofeng
  1. DDS

    0下载:
  2. 这个一个基于FPGA的DDS原代码 可以生成正弦和余弦两种波形-This is a DDS code bepend on FPGA ,it can generate two waves.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:9628
    • 提供者:wuyanjun
  1. FPGA_DDS

    2下载:
  2. 基于Cyclone EP1C6240C8 的AD9854 DDS的接口程序,使用FPGA来控制DDS信号的产生,从而达到高频信号产生的目的。 通过FPGA口线模拟AD9854的控制时序。 提供DDS信号波形变换、DDS频率调整、DDS内部比较器使用等功能。-Cyclone EP1C6240C8 of the AD9854 DDS-based interface program, use the FPGA to control the DDS signal generation, so a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-18
    • 文件大小:1826536
    • 提供者:icemoon1987
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. DDS

    0下载:
  2. VHDL高级语言利用DDS实现信号发生器的功能,频率波形可调-VHDL DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1159016
    • 提供者:amanda
  1. DDS-FENPIN

    0下载:
  2. DDS实现任意小数分频,2.4.6,8和小数分频-DDS to achieve any fractional frequency 2.4.6,8 and fractional-N
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:507888
    • 提供者:高大勇
  1. dds

    0下载:
  2. 高精度高速正弦波生成,正弦波相位和正弦波频率可调。-make sin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:673
    • 提供者:sunhao
  1. DDS

    0下载:
  2. 用vhdl实现dds。很简单,很容易!liutao1987314-vhdl dds dds dds
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:848541
    • 提供者:无双
  1. helpfpga

    0下载:
  2. VHDL语言 用FPGA实现DDS数字频率合成器 包括正弦波和方波-FPGA implementation using VHDL, DDS digital frequency synthesizer, including sine and square wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:436488
    • 提供者:枫树林
  1. DDS

    0下载:
  2. 这个是我自己用VHDL语言写的两相数字信号发生器程序 D/A用的是DAC904-This is for my own use VHDL, written procedures for two-phase digital signal generator D/A using a DAC904
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1370392
    • 提供者:马骋
  1. DDS

    0下载:
  2. 基于fpga技术,采用DDS原理产生3MHZ的正弦波。 -Produced with the DDS sine wave 3MHZ.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:664
    • 提供者:王伟
  1. dds

    0下载:
  2. 块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据dds频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 -In the programming step, the electronic
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4849
    • 提供者:李彦伟
  1. statemachine

    0下载:
  2. 一个用vhdl语言写的交通灯控制的例子,可以很好的学习vhdl语言中状态机的使用。-Written in a language with vhdl traffic light control case study can be a good vhdl state machine language to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:827
    • 提供者:tofly
  1. dds

    0下载:
  2. vhdl实现dds的程序 vhdl实现dds的程序-vhdl procedures to achieve vhdl dds dds procedures to achieve
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:701
    • 提供者:zhangj
  1. dds

    1下载:
  2. 本设计使用8051单片机ip核,并用VHDL语言设计DDS的各功能模块,利用顶层设计的思想组合成DDS(直接数字频率综合)函数信号发生器,并与单片机ip核的I/O口相连。编译完下载到可编程逻辑器件中(FPGA),实现相应的功能。该设计中使用的是LCD2004液晶显示。-dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2347
    • 提供者:kelas
  1. FPGAdesignandFIRimplementation

    1下载:
  2. 文档中含有DDS的VHDL实现,FIR滤波器串并FPGA实现,synplify,ISE,ModelSim后仿真流程和FPGA设计的资料-document contains DDS implementation with VHDL , FIR filter serial to parallel and FPGA implementation, and synplify, ISE, ModelSim simulation and FPGA design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1383782
    • 提供者:francis davis
  1. DDS

    0下载:
  2. DDS 用VHDL写的输出的正弦波程序 调频 调幅 调相-DDS WRITE IN VHDL ,including FSK ASK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:902
    • 提供者:孙伟成
  1. DDS(fsk-ask-psk)

    0下载:
  2. 基于VHDL的波形调制,其中包括调频、调幅,调脉宽等-VHDL-based waveform modulation, including FM, AM, pulse width modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6747337
    • 提供者:王展
« 1 2 3 45 6 7 8 9 10 ... 16 »
搜珍网 www.dssz.com