CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 二进制 转 bcd

搜索资源列表

  1. clock2001

    0下载:
  2. 时钟模块之一:二进制转BCD码verilog源代码FPGA advantage编程环境-clock module : BCD switch binary source code Verilog FPGA advantage programming environment
  3. 所属分类:Static控件

    • 发布日期:2008-10-13
    • 文件大小:822
    • 提供者:dandan
  1. bcd.rar

    0下载:
  2. vhdl编写的将二进制转BCD码的程序.直接源代码,适合新手编程,语法学习,BCD
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:202281
    • 提供者:yjh
  1. BCD

    0下载:
  2. 实现多字节二进制转BCD码功能,是在KEI中写的。可以直接用。-Achieve multi-byte binary code to BCD function
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:16250
    • 提供者:panlaoda
  1. bit_bcd_convert

    0下载:
  2. 二进制码流(PCM)转BCD码流的matlab代码,给需要的朋友做个参考-Binary code streams (PCM) to BCD code flow matlab code, to be a reference to a friend in need
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:2293
    • 提供者:hcf
  1. bcd_bit_convert

    0下载:
  2. BCD码流(modelsim测试时需使用)转二进制码流(PCM)的matlab代码,给需要的朋友做个参考-BCD code stream (modelsim test to use) to a binary stream (PCM) of matlab code, to be a reference to a friend in need
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:2384
    • 提供者:hcf
  1. DS12C887

    0下载:
  2. 16位二进制数求除法程序,16位二进制数转化为BCD码-16-bit binary division program requirements, 16-bit binary number into BCD code
  3. 所属分类:ISAPI-IE

    • 发布日期:2017-04-07
    • 文件大小:2766
    • 提供者:石传东
  1. Exchange

    0下载:
  2. 程序功能:二进制取反 、十六进制转为十进制、十进制转十六进制、BCD转10进制 、十进制转BCD码、BCD码转换为字符串、字符串转换为BCD码,非常实用,工作必备之源码-Procedures functions: binary negate, sixteen hexadecimal to decimal, decimal to hexadecimal sixteen, BCD 10 hexadecimal, decimal conversion BCD code, BCD code is con
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:3924
    • 提供者:xwb
  1. VHEX2BCD16h

    0下载:
  2. 基于vhdl的二进制转BCD码码的设计,已经经过调试,可直接使用 -Based on vhdl binary to transfer the design of BCD code, has been commissioning, can be used directly
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:866
    • 提供者:wangjiantin
  1. sting_lib

    0下载:
  2. 各种字符串函数功能的实现 比如 字符大小写转换,字符长度 二进制转BCD 一个字符转换成16进制 十进制等一些函数的实现-The realization of the function of various string function
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:7813
    • 提供者:绿茶好心情
  1. bit7_Binary_to_BCD_LED

    1下载:
  2. 二进制转十进制BCD码 Verilog语言 quartus-Binary to decimal BCD code Verilog language quartusII
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:295999
    • 提供者:伍明煜
  1. b_to_bcd

    0下载:
  2. Verilog语言编写,二进制码转BCD码-Verilog language, binary code to BCD code
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1047
    • 提供者:张航
  1. txdecode

    0下载:
  2. 二进制转BCD,fpga开发,交通灯控制芯片二进制转bcd码代码,函数法-binary to BCD for fpga. for traffic control chip
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:978
    • 提供者:蓝精灵
搜珍网 www.dssz.com