CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - VHDL 定时器

搜索资源列表

  1. shuzimiaobiaoVHDL

    0下载:
  2. 数字秒表的VHDL语言实现,由于系统定时器8253每秒中断18.2次,利用INT 1AH/00H取得中断次数(DX),得到54.945ms的定时单位。 -Digital stopwatch the VHDL language, because the system timer interrupt 18.2 times per second, 8253, made use of INT 1AH/00H interrupt number (DX), by 54.945ms timing uni
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:4544
    • 提供者:田有林
  1. timer

    0下载:
  2. 定时器,VHDL 实现,希望对大家有用,共同学习-the timer with vhdl
  3. 所属分类:Other systems

    • 发布日期:2017-12-07
    • 文件大小:5071
    • 提供者:ycy
  1. 直流电机控制

    0下载:
  2. 实现直流电机的控制,增速和减速,用到了定时器(Realize DC motor control, speed up deceleration)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:1024
    • 提供者:艺术韩
搜珍网 www.dssz.com