CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - Verilog AES

搜索资源列表

  1. AES-based-on-FPGA-jiemi

    0下载:
  2. 基于FPGA的AES算法实现,使用verilog语言实现。本模块只包含解密过程,没有加密过程。-Implementation of AES algorithm based on FPGA, using Verilog language. This module contains only the decryption process, no encryption process.
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:13487104
    • 提供者:庄德坤
  1. aes

    0下载:
  2. 利用verilog实现AES加密功能,S盒的实现方式有两种,一种是查找表的方式,一种是计算的方式。-Use verilog implementation AES encryption, there are two kinds of S box is implemented, a way is look-up table , a way is calculation.
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:7875
    • 提供者:庞建龙
  1. 基于FPGA的AES256位加密

    1下载:
  2. aes 256位 算法 加密程序,使用verilog 语言(AES 256 bit algorithm encryption program, using Verilog language)
  3. 所属分类:其他

    • 发布日期:2020-12-27
    • 文件大小:20480
    • 提供者:wrxlln
搜珍网 www.dssz.com