CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - vhdl DS18b20

搜索资源列表

  1. ds18b20

    0下载:
  2. 基于VHDL写的DS18B20的驱动,简单版本-based on VHDL write DS18B20 driven, simple version
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:299737
    • 提供者:zhaoyang
  1. DS18B20

    0下载:
  2. 8位单片机与DS18B20并行双向通信。 Quartus II 8.1项目工程文件. 主源程序文件为DS18B20.v,里面有详细注解。 例子: DS18B20 数据地址 0xf000(ROM=0) DS18B20 ROM指令地址 0xf001(ROM=1) 外部电源供电且只有一DS18B20的读取法: 发送CC到0xf001, 等待busy=0说明器件已准备好, 读0xf001的Bit1=1说明存在器件,Bit0=1为控制忙(可以省略此步) 发送44
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:338982
    • 提供者:yuantielei
  1. ds18b20

    0下载:
  2. 改程序实现了对dalas的1-wire器件温度传感器ds18b20(也可以是ds1825)的驱动,并将温度值显示在液晶屏幕1602上-This program is dedicated to driving the 1-wire device ds18b20(ds1825),which reads the temperature and displays it on the LHD1602
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:4068571
    • 提供者:mn
  1. 5956474temperature

    0下载:
  2. DS18b20 temperature sensor vhdl code
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2454
    • 提供者:severance15
  1. Temper

    0下载:
  2. DS18B20芯片的温度检测的VHDL编程-DS18B20 chip temperature detection VHDL programming
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-28
    • 文件大小:1316251
    • 提供者:AARON
  1. DS18B20_1

    0下载:
  2. 数字温度传感器DS18B20控制程序VHDL语言,FPGA和CPLD都测试过可以执行-Digital temperature sensor DS18B20 control procedures VHDL language, FPGA and CPLD are tested to perform
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:437017
    • 提供者:高超
搜珍网 www.dssz.com