CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Internet/网络编程 搜索资源 - watchdog

搜索资源列表

  1. WatchDog

    0下载:
  2. 服务监控程序,1-服务 2 - 检测网关程序的侦听端口-Service Monitor, 1- Service 2- detection gateway process listen port
  3. 所属分类:Sniffer Package capture

    • 发布日期:2017-04-06
    • 文件大小:120325
    • 提供者:飞翔
  1. ns2-watch

    0下载:
  2. abt installing watchdog in ns2
  3. 所属分类:Linux Network

    • 发布日期:2017-04-03
    • 文件大小:80677
    • 提供者:neesanthi
  1. MetaSeeker-4.11.2

    1下载:
  2. 主要应用领域: • 垂直搜索(Vertical Search):也称为专业搜索,高速、海量和精确抓取是定题网络爬虫DataScraper的强项,每天24小时每周7天无人值守自主调度的周期性批量采集,加上断点续传和软件看门狗(Watch Dog),确保您高枕无忧 • 移动互联网:手机搜索、手机混搭(mashup)、移动社交网络、移动电子商务都离不开结构化的数据内容,DataScraper实时高效地 采集内容,输出富含语义元数据的XML格式的抓取结果文件,确保自动化
  3. 所属分类:Search Engine

    • 发布日期:2017-05-16
    • 文件大小:4218989
    • 提供者:陈东
  1. ns2-aodv-bayesian-watchdog-2.0

    0下载:
  2. ns2平台下实现watchdog的aodv路由程序,根据贝叶斯分类原则进行判定-ns2 platform to achieve the aodv routing process watchdog, determined according to the principles of Bayesian classification
  3. 所属分类:Linux Network

    • 发布日期:2017-03-28
    • 文件大小:96900
    • 提供者:南宫煌
  1. wl_watchdog.py

    0下载:
  2. watchdog for WL500gP used for reseting AVR This code is used iv remote control using WL500 and AVR connected via serial line.
  3. 所属分类:Linux Network

    • 发布日期:2017-04-11
    • 文件大小:1525
    • 提供者:js2002
  1. watchdog1

    0下载:
  2. 该文件为ARM11下的看门狗源程序及框架图,为方便同行共同开发特此上传-This file is the source code and ARM11 watchdog under the framework map, to facilitate the joint development hereby peer upload
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-12
    • 文件大小:1159
    • 提供者:virkcsMi
  1. STM32_ADC12

    0下载:
  2. STM32,ADC的采样实验 28连续采样和模拟看门狗的使用)-STM32, ADC sampling experiments for 28 of the use of sampling and analog watchdog)
  3. 所属分类:Linux Network

    • 发布日期:2017-05-03
    • 文件大小:849495
    • 提供者:Mr.zeng
  1. linux--watchdog

    1下载:
  2. 一个类似watchdog的程序示例,当任务进程由于某种原因僵死或退出后,由其父进程监听到后,重新启动新的任务进程-An example of a program similar to watchdog, when the task process for some reason, after the death or death his father to monitor the process to restart the new task process
  3. 所属分类:Linux Network

    • 发布日期:2017-05-05
    • 文件大小:42896
    • 提供者:John Lee
  1. screenshoter

    0下载:
  2. The independent watchdog is based on a 12-bit downcounter and 8-bit prescaler
  3. 所属分类:网络

    • 发布日期:2018-01-09
    • 文件大小:299008
    • 提供者:Lloyd12
  1. lab32-Cap

    0下载:
  2. void InitEPwm1Example(void); void main(void) { // Step 1. Initialize System Control: // PLL, WatchDog, enable Peripheral Clocks // This example function is found in the DSP2833x_SysCtrl.c file. InitSysCtrl(); // Step 2. Initalize GPIO: /
  3. 所属分类:网络编程

    • 发布日期:2018-04-30
    • 文件大小:406528
    • 提供者:丶初学者
搜珍网 www.dssz.com