CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 通讯/手机编程 搜索资源 - FIFO

搜索资源列表

  1. fifo

    0下载:
  2. 一个FIFO的原代码 非常有用 给大家共享了 下吧
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:1436
    • 提供者:wang
  1. FX2-Slave-FIFO

    2下载:
  2. 这是基于CY7C68013芯片,工作于slave FIFO模式的数据传输的程序。包括USB固件程序的程序框架和传输功能实现程序。
  3. 所属分类:USB编程

    • 发布日期:2014-01-17
    • 文件大小:17168
    • 提供者:张梓剑
  1. serial.rar Dos 串口通信例程实现了FiFO

    0下载:
  2. Dos 串口通信例程实现了FiFO ,中断发送中断接受!,Dos serial communication routines to achieve a FiFO, interrupted send interrupt accepted!
  3. 所属分类:串口编程

    • 发布日期:2017-03-22
    • 文件大小:7548
    • 提供者:陈国兴
  1. SCI_FIFO.rar

    0下载:
  2. F2812 SCI FIFO中断发送和接收例程,F2812 SCI FIFO interrupt routines to send and receive
  3. 所属分类:Com Port

    • 发布日期:2017-03-25
    • 文件大小:2155
    • 提供者:赵伟忠
  1. Verilog_CY7C68013-SLAVE-FIFO

    0下载:
  2. 用VERILOG 编写 CY7C68013 usb数据采集SLAVE FIFO模式驱动程序 ,已验证过-Prepared with the VERILOG CY7C68013 usb data acquisition SLAVE FIFO mode driver, has proven
  3. 所属分类:USB develop

    • 发布日期:2017-03-24
    • 文件大小:668109
    • 提供者:高亮
  1. asfifodesign

    0下载:
  2. 异步fifo设计文档,里面包括详细的verilog设计方案及代码。fifo设计是通信中必然设计的设计-a fifo design with code inside, using verilog language
  3. 所属分类:USB develop

    • 发布日期:2017-05-01
    • 文件大小:545472
    • 提供者:何正文
  1. bulkloop

    0下载:
  2. EZ-USB FX2 SLAVE FIFO模式固件代码-EZ-USB FX2 SLAVE FIFO mode firmware code
  3. 所属分类:USB develop

    • 发布日期:2017-04-03
    • 文件大小:2209
    • 提供者:
  1. Apptest_write_read_fifo

    0下载:
  2. 采用EZ-USB GPD开发的USB FIFO测试程序-The use of EZ-USB GPD development of USB FIFO test procedures
  3. 所属分类:USB develop

    • 发布日期:2017-04-04
    • 文件大小:104366
    • 提供者:郭伟
  1. Uart(FIFOSend.TimeoutReceive)

    0下载:
  2. AVR mega16/mega32的UART FIFO发送.超时接收,广泛应用于工业控制.这是原创作品.-AVR mega16/mega32 send the UART FIFO. Overtime receiver is widely used in industrial control. This is the original works.
  3. 所属分类:Com Port

    • 发布日期:2017-03-28
    • 文件大小:24452
    • 提供者:明君
  1. usb

    0下载:
  2. USBHostSlave is a USB 1.1 host and Device IP core. – Supports full speed (12Mbps) and low speed (1.5Mbps) operation. – USB Device has four endpoints, each with their own independent FIFO. – Supports the four types of USB data transfer control,
  3. 所属分类:USB develop

    • 发布日期:2017-03-29
    • 文件大小:6559
    • 提供者:polito
  1. SCI_TXRXFIFO_over

    0下载:
  2. SCI串口通信程序,使用FIFO功能,定时收发-SCI serial communication, the use of FIFO function, periodically send and receive
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:335951
    • 提供者:FUYI
  1. async_fifo

    0下载:
  2. 异步fifo 源程序代码 欢迎大家学习 用VHDL语言编写-asy fifo
  3. 所属分类:Com Port

    • 发布日期:2017-04-17
    • 文件大小:213192
    • 提供者:chenxuhui
  1. asynchronous_fifo

    0下载:
  2. Fully asynchronous fifo for Altera devices.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-13
    • 文件大小:2134
    • 提供者:kkris
  1. fifo

    0下载:
  2. 基于verilog的fifo异步实现的源代码和分析。-fifo
  3. 所属分类:Com Port

    • 发布日期:2017-03-28
    • 文件大小:5913
    • 提供者:比尔
  1. UART0_FIFO

    0下载:
  2. LPC2106的串口的FIFO仿真程序,使用ads编辑的。-LPC2106 emulator serial port of the FIFO, using ads to edit.
  3. 所属分类:Com Port

    • 发布日期:2017-03-28
    • 文件大小:96743
    • 提供者:z
  1. FX2-Slave-FIFO

    0下载:
  2. 最常用的USB数据采集系统 CY7C68013 SLAVE FIFO 模式 不需要修改,已验证过-The most common USB data acquisition system CY7C68013 SLAVE FIFO mode does not change, has been verified
  3. 所属分类:USB develop

    • 发布日期:2017-03-25
    • 文件大小:66969
    • 提供者:高亮
  1. fifo

    0下载:
  2. fifo buffer in vhdl, first in first out in vhdl, vhdl code
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-12-05
    • 文件大小:1513
    • 提供者:sgma
  1. fifo

    0下载:
  2. fifo源码以及测试文件基于ISE14.2-fifo source and test files based on ISE14.2
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-11-26
    • 文件大小:450952
    • 提供者:mayunfeng
  1. Slave-FIFO

    0下载:
  2. 详细讲解Slave FIFO模式下的初始化设置和相对应寄存器说明-Explain in detail the initial setup Slave FIFO mode and the corresponding register descr iption
  3. 所属分类:USB develop

    • 发布日期:2017-03-28
    • 文件大小:68259
    • 提供者:jianhaoran
  1. fifo

    1下载:
  2. Verilog HDL实现通用的FIFO的一个demo,可以参考这个程序根据自己的需求更改深度和宽度,以及标志位(Verilog HDL implements a demo of a generic FIFO that you can refer to to to change the depth and width, as well as the flag bits, depending on your needs)
  3. 所属分类:传真(Fax)编程

    • 发布日期:2020-12-15
    • 文件大小:4649984
    • 提供者:gankl
« 12 3 4 »
搜珍网 www.dssz.com