CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 三角波

搜索资源列表

  1. 用单片机输出正弦波,矩形波,三角波

    1下载:
  2. 用单片机输出正弦波,矩形波,三角波
  3. 所属分类:嵌入式/单片机编程

  1. 用DAC0832芯片输出锯齿波和三角波的PROTUES仿真电路

    1下载:
  2. 用DAC0832芯片输出锯齿波和三角波的PROTUES仿真电路,和用C编写的程序。-DAC0832 chip output with sawtooth and triangle waves PROTUES simulation circuit, and written in C programs.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-11-22
    • 文件大小:74440
    • 提供者:zhoushilang
  1. 0DSP_利用dsp产生任意信号的三角波

    1下载:
  2. 利用dsp产生任意信号的三角波,正弦波,方波的发生,采用汇编代码编程,在ccs调试软件下调试-Dsp produce any signal using the triangular wave, sine wave, square wave occurs, the use of assembly code programming, debugging software debugging in ccs
  3. 所属分类:DSP编程

    • 发布日期:2017-03-22
    • 文件大小:395684
    • 提供者:不再犹豫
  1. sanjiaobo

    0下载:
  2. 幅值,频率可调的三角波发生器S-函数的实现-Amplitude, frequency adjustable triangular-wave generator to achieve S-function
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:8063
    • 提供者:天行健
  1. microds

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -can creat sine wave,saw wave,trangle wave
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:55531
    • 提供者:苏诗卧龙
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
  1. dds_vhdl

    0下载:
  2. dds的vhdl实现,主要包括正弦波、三角波和锯齿波的产生-dds achieve the VHDL, including sine, triangle wave, and the selection ramp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:785
    • 提供者:xxx
  1. Triangle_Wave_generater

    0下载:
  2. 采用vhdl语言编程,基于quartus平台的三角波仿真。-Using VHDL language programming, based on the Quartus triangular wave simulation platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2029282
    • 提供者:苏苏
  1. Triangle

    0下载:
  2. 非常好的三角波的发生器,可以设置频率等参数-Very nice triangular wave generator, can set the frequency parameter
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7583
    • 提供者:王强
  1. triang

    1下载:
  2. 三角波生成程序,用于生成初始信号对系统进行模拟。-Triangle-wave generation process, used to generate the initial signal of the system simulation.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:516
    • 提供者:少校
  1. DA

    0下载:
  2. TMS320LF2407键盘与DA转换综合控制源码 键盘0,1,2,3分别使得DA转换产生方波,三角波,正弦波,锯齿波。-TMS320LF2407 keyboard with integrated control DA converters 0,1,2,3-source keyboard makes DA conversion separately generated square wave, triangle wave, sine wave, sawtooth wave.
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:6222
    • 提供者:baoyu
  1. FPGAboxin

    0下载:
  2. FPGA实现波形产生模块能产生正弦,方波,锯齿,三角波的产生,频率可调-fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:257647
    • 提供者:李可
  1. 0832xinhaofanshengqi

    0下载:
  2. 用单片机编程输出方波 三角波 正弦波 包括DAC-MCU programming the output sine wave square wave triangle wave, including DAC
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:137170
    • 提供者:tangzong
  1. DAC0832

    0下载:
  2. 设计一个函数信号发生器,具体指标如下: 1 采用AT89S51及DAC0832设计函数信号发生器; 2 输出函数信号为正弦波或三角波或阶梯波; 3 输出信号频率为100Hz,幅度0-10V可调; 4 必须具有信号输出及外接电源、公共地线接口 -Design a function signal generator, the specific indicators as follows: 1 using AT89S51 and design function signal ge
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:593071
    • 提供者:郭晨
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. chenxu

    0下载:
  2. 各种波形产生器,包括方波,三角波,锯齿波,正弦波,且可调频调幅 -A variety of waveform generator, including square, triangle wave, sawtooth, sine, and can be FM-AM
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:4244
    • 提供者:hulei198391
  1. DDS

    0下载:
  2. 这是一个dds产生方波、三角波、正弦波的代码。请用Quartus II打开-This is a dds produce square wave, triangle wave, sine wave code. Please open the Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:810405
    • 提供者:kydchen
  1. AD9854

    1下载:
  2. dds AD9854波形发生三角波 方波正弦波-AD9854 waveform DDS happened sine wave wave square wave triangle
  3. 所属分类:SCM

    • 发布日期:2016-07-23
    • 文件大小:50176
    • 提供者:
  1. 自带三角波发生器产生三角波

    0下载:
  2. 能够通过单片机产生任意频率的三角波和正弦波(Through the microcontroller to generate arbitrary frequency triangle wave and sine wave)
  3. 所属分类:微处理器开发

  1. experi_1

    0下载:
  2. 利用一个简单的双向计数器,使输出为三角波(Using a simple bidirectional counter, the output is a triangle wave)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1822720
    • 提供者:偶在旅途
« 12 3 4 5 6 7 8 9 10 ... 38 »
搜珍网 www.dssz.com